Next-Generation Lithography (A): Betting On New Production Technology In The Semiconductor Industry

31
The protectedpdf technology is © Copyright 2006 Vitrium Systems Inc. All Rights Reserved. Patents Pending.

Transcript of Next-Generation Lithography (A): Betting On New Production Technology In The Semiconductor Industry

The protectedpdf technology is © Copyright 2006 Vitrium Systems Inc. All Rights Reserved. Patents Pending.

UVA-BP-0424

This case was prepared by Assistant Professor Melissa M. Appleyard as part of the eXplore! microelectronics initiative. The author would like to acknowledge the leaders of the NGL projects at IBM, Intel, and Lucent, who were very helpful in providing background information for this case. Greg Linden and Dataquest also provided invaluable reference materials. Rajat Sethi provided research assistance. Funding from the Darden Foundation and Alfred P. Sloan Foundation helped to support the writing of this case. This case was written as a basis for class discussion rather than to illustrate effective or ineffective handling of an administrative situation. Copyright © 2001 by the University of Virginia Darden School Foundation, Charlottesville, VA. All rights reserved. To order copies, send an e-mail to [email protected]. No part of this publication may be reproduced, stored in a retrieval system, used in a spreadsheet, or transmitted in any form or by any means—electronic, mechanical, photocopying, recording, or otherwise—without the permission of the Darden School Foundation. ◊

NEXT-GENERATION LITHOGRAPHY (B):

BETTING ON A NEW PRODUCTION TECHNOLOGY IN THE SEMICONDUCTOR INDUSTRY

In 1999, after each committing well over $200 million, the three major U.S.-led projects developing next-generation lithography (NGL) systems confronted the reality of dramatic extensions to optical lithography.1 In February 1999, equipment suppliers announced their revised projections that the state-of-the-art optical systems based on deep-ultraviolet (DUV) illumination systems would achieve circuit resolutions of 0.07 µm, with prototype tools ready by the end of 2000.2 When the industry constructed its first lithography road map in 1994, semiconductor process technology based on 0.07 µm design rules did not even appear until 2010. That 1994 road map showed extensions to DUV optical systems possibly reaching the 0.13 µm technology node, but was certainly unable to endure through the 0.10 µm node. Astoundingly, optical lithography had been extended for two generations beyond what anyone had thought possible just five years earlier and brought 0.07 µm capabilities a decade before the industry had predicted.

With the shockingly long life of optical lithography, the industry’s need for the NGL

systems was gravely called into question. The companies involved in the three major projects in the United States needed to decide how to respond to this new reality.

1 In 1998, SEMATECH estimated that the cost to develop a commercially viable NGL system would approach

$800 million. See “Europe Launches EUV Litho Project,” Semiconductor Business News, 25 November 1998. 2 Jack Robertson, “157-nm Litho makes Amazing Comeback,” Semiconductor Business News, 15 February

1999.

UVA-BP-0424

-2-

Extensions to Optical Lithography3

During the 1990s, equipment suppliers and semiconductor manufacturers worked assiduously to extend the life of optical systems. Neither the equipment suppliers who wished to recover R&D expenditures on optical technology nor their customers who wanted to amortize the purchase of multi-million dollar systems for their manufacturing operations desired a premature retirement of optical lithography.4 In their quest to hedge their bets, the players involved in the pursuit of NGL, including IBM, Intel, and Lucent, were instrumental in developing the extensions to optical lithography. These extensions permitted patterning at finer resolutions and included enhancements such as optical-proximity correction, off-axis illumination, and phase shift masking.5

By July 1999, a task force at International SEMATECH voted in favor of extending optical lithography based on deep-ultraviolet (DUV) light sources down to the 0.07 µm technology node, pushing back the need for NGL technologies to the 0.05 µm node.6 The only hope of NGL adoption before the 0.05 µm technology node would be if further enhancements required by the DUV system became prohibitively expensive. The industry forged a clear consensus that DUV systems based on 0.157 µm light sources would be the last of the optical systems. The industry’s tenacity in holding on to optical lithography, however, made the pursuit of NGL systems as risky as ever, as the industry was reluctant to take “ a revolutionary step into an unknown future.”7

Given these increased risks, the leaders of NGL development projects reflected on how

far they had come and reassessed what they needed to achieve. Three of the primary NGL projects—SCALPEL, PREVAIL, and EUV LLC—were located in the United States and headed by Lucent, IBM, and Intel, respectively. Although divergent along some dimensions, these projects shared the common characteristic of tremendous cross-company collaboration. The following analyzes the evolution of each project.

3 http://www.darden.virginia.edu/it/explore/ for optical lithography movie. 4 In 1999, the size of the lithography market was expected to reach $7 billion in 2004. “TRW Delivers First

Laser for Making Next-Generation Microchips,” Business Wire (April 1, 1999). 5 “Lithography for the Future-Extreme Ultraviolet (EUV),” Semiconductor Consulting Services, Inc.,

www.semiconsulting.com. 6 Jack Robertson, “157-nm Litho Tools may Reshuffle NGL Efforts,” Semiconductor Business News, July 1999. 7 Katherine Derbyshire, “SPIE Reviews 157 nm Status,” Semiconductor Online (March 30, 1999).

UVA-BP-0424

-3-

The Scattering with Angular Limitation for Projection Electron Lithography (SCALPEL) Project8

Starting in 1989, Lucent’s research arm, Bell Labs, earnestly pursued one NGL alternative—electron projection lithography (EPL). The project grew into a multi-million dollar program under the acronym SCALPEL, which stood for Scattering with Angular Limitation for Projection Electron Lithography—a description of its lens system. The SCALPEL team originally targeted the 0.18 µm technology node, but with the dramatic extensions to optical lithography, Paul Orphanos, a member of technical staff and SCALPEL team member, noted, “We start to tune this thing up at 0.18!” The SCALPEL team revised its entry point to the 0.07 µm technology node and planned to extend the system to production processes with 0.05 µm and 0.035 µm design rules.

Early in the project’s life, the SCALPEL team designed the tool around an error budget,

which traded off the costs of developing key features. For example, how much would an improved optics system take priority over the development of masks, resists, and control systems? They decided on the error budget approach because, according to Lloyd Harriott, director of advanced lithography research, they set out to “design a whole system rather than just a portion.” Alex Liddle, technical manager of advanced lithography research, continued, “You sell a lithography technology, and you have to be able to answer questions like, ‘Where do you buy a mask?’ or ‘Is the resist compatible with current processes?’” Harriott recalled that Liddle noted in response to their adoption of the error budget as a guiding principle: “You can’t start somewhere; you have to start everywhere.” In contrast, IBM’s EPL project, the PREVAIL project concentrated on one primary aspect of its EPL system, the electron optics, to achieve high throughput but neglected certain aspects of the complete system, according to the SCALPEL team.

Even though early experiments produced promising results, funding became an issue as the SCALPEL team moved to a proof-of-concept tool in the early 1990s. They applied for and received funding starting in 1994 from the U.S. government’s Advanced Research Projects Agency (ARPA) for proof-of-concept tool development. With the government money, however, came implicit obligations to pursue U.S.-based equipment suppliers for the commercialization of the tool. Additionally, reliance on government money introduced a level of uncertainty of the funding horizon as priorities in the U.S. government shifted regularly, and ARPA was influenced by these shifts. In fact, the team received a startling call from ARPA in December 1994 that included the phrase, “Stop work.” Upper management at Bell Labs encouraged the team to keep working on their EPL technology, while they negotiated for continuation of the funding. ARPA funding was reinstated and continued through the first quarter of 2000.

8 This section draws heavily on the case author’s interviews with Lloyd Harriott, director of advanced

lithography research at Bell Labs, and J. Alexander Liddle, technical manager of advanced lithography research at Bell Labs (July 11, 2000).

UVA-BP-0424

-4-

Narrowing the Field at Bell Labs

By 1996, Bell Labs had programs going in optical, X-ray, and extreme ultraviolet (EUV) lithography, as well as their EPL SCALPEL project. All of the projects were housed in the same group at Bell Labs, and after a while, it became obvious that they could not support all of them. They faced two decisions: 1) Which technology to pick? and, 2) What were they going to do with the selected technology? In the mid-1990s, they assessed where each technology was in terms of development and where it needed to be to dominate the marketplace by 2000 to 2004. X-ray was the first to be ruled out, because of its punishing demands on mask-making.9 Furthermore, semiconductor manufacturers were reluctant to install the expensive synchrotrons, which were needed to generate the X-ray radiation, into their fabrication facilities.

Therefore, it came down to EUV and SCALPEL. At the time, their overall judgment put

the costs of developing EUV as higher than those to develop SCALPEL. They estimated the probability of success multiplied by the projected cost for each approach, and the results dramatically favored SCALPEL

In the mid-1990s, Bell Labs was still involved in EUV research and played an active role

in the Industrial Advisory Board (IAB) established to help coordinate EUV research funded by the Department of Energy. At the 1995 meeting of the IAB, a representative from Lawrence Livermore Laboratory reported that building an EUV system would require state-of-the-art technology in all dimensions and a prototype would cost at least $200 million. Around the same time, the U.S. Department of Energy decided to end its investments in EUV.

Everyone attending the meeting looked around the room to see if one of the chip

companies would pick up where the Department of Energy left off. EUV still qualified as a viable option for post-optical lithography. Lucent, for one, began to curtail its NGL activities in order to focus on its core businesses. This meant that Bell Labs could no longer afford to work on multiple NGL technologies. As a consequence, Bill Brinkman, vice president of research in Bell Labs physical sciences unit, walked out of the meeting with a clear intention to end further funding of the EUV effort. Intel, on the other hand, assumed the challenge of EUV and increased the pool of NGL systems vying for market acceptance.

The final assessment of the alternatives for post-optical lithography took approximately three months at Bell Labs during the spring of 1996. Harriott observed that the length of time to narrow their choice to SCALPEL, “. . . depends on how you look at it.” He noted that it could be twenty years, three months, or five minutes, referring, respectively, to Bell Labs’ pursuit of X-ray lithography, the decision to abandon X-ray, and the selection of SCALPEL over EUV by Bill Brinkman. The fact that Bell Labs placed a higher probability of success on SCALPEL coupled with its stronger intellectual property position in the SCALPEL technologies helped sway the

9 X-ray masks had to be very exact, because there was a 1-to-1 projection of the image on the mask to the wafer.

X-ray systems did not benefit from reduction during image projection as in optical lithography (e.g., the image on the wafer being 1/5 the size of the image on optical masks), so any defect that appeared on the mask would be transferred 1-to-1 onto the wafer.

UVA-BP-0424

-5-

decision. In addition, the SCALPEL tool was just coming on-line and had produced favorable results, which overshadowed the results emerging from an EUV project that Bell Labs had going at Brookhaven National Laboratory. Liddle recalled, “It was like an avalanche—a weight of evidence accumulated, and finally broke, and carried X-ray and EUV away with it.” Commercializing SCALPEL

Once Bell Labs selected SCALPEL as the NGL technology of choice, the project team began setting performance targets for the tool. Approximately 40 people at Bell Labs worked regularly on the SCALPEL project addressing the exposure tool, systems engineering, and mask development. To help speed the efforts of this dedicated team, partners were considered. Discussions ensued with IBM as to whether the companies should cooperate in building a prototype of an EPL system or if each company should build their own. In the end, they went their separate ways. Following this decision, Bell Labs pursued additional partners and outside funding sources. Before accepting outside monies, however, the IP division at Bell Labs encouraged Lloyd Harriott and his team to expand their patent portfolio beyond the fundamental patents they had already filed. Harriot acknowledged that following this advice to make their patent portfolio solid turned out to be a very good decision on their part.

The SCALPEL team’s pursuit of a commercial system following their development of

the basic technologies, i.e., shouldering a cradle-to-grave responsibility for a new technology, reflected a growing trend at Bell Labs. Lucent increasingly pressured its research arm to actively seek commercial applications of their fundamental research. Previously, Liddle explained, there was a tendency to create a technology, but wait for other parties to come and “knock at the door” when they identified a commercial need for it. In SCALPEL’s case, the team was concerned that the wait for the knock might prove very long indeed, if no one took an early leadership in commercializing an EPL system. The SCALPEL team decided not to wait to see if IBM would successfully provide this leadership and pushed ahead. The Maturation of the Project: Tool Evolution and Project Team Reconfiguration

To commercialize the SCALPEL technology, the project team needed to work with an

equipment supplier, who would manufacture the tools. As the project transitioned into a commercialization phase, the team worked increasingly closer with equipment suppliers. After receiving government funding through ARPA, Bell Labs felt obliged to work with a U.S. equipment supplier. Furthermore, talks with Nikon broke down because of issues surrounding intellectual property rights. In 1995, the SCALPEL team selected Integrated Solutions Incorporated (ISI), which was a company that grew out of a former U.S. lithography supplier, GCA Stepper. The agreement entailed Bell Labs granting ISI an exclusive license to the SCALPEL technology in exchange for commercializing the prototype tools. Over the course of its relationship with ISI, the SCALPEL team received feedback from other semiconductor device producers who were likely future customers of the tool under development. The SCALPEL team

UVA-BP-0424

-6-

even formalized the feedback sessions by setting up daylong focus group meetings every three to four months. These sessions helped ISI prioritize performance attributes of the tool and estimate when the first systems would be purchased.

Also coming out of these focus groups was feedback given to the Bell Labs engineers

from the side questioning the choice of ISI. The other chip companies were skeptical whether ISI could ramp up its production of the tools to make delivery dates and offer the global support that they required. The top three suppliers—Nikon and Canon of Japan and ASML of the Netherlands—had global support networks in place where U.S. suppliers simply could not compete. The other chip companies also questioned whether the SCALPEL team had gone with ISI truly because of the fact that the project received U.S. government funding or because the three leading suppliers did not have faith in the SCALPEL technology. In 1997, ISI went bankrupt and was bought out by another U.S. lithography company, Ultratech Corporation.10 This change in ownership invalidated the exclusive license agreement, so Bell Labs was then free to approach other lithography companies.

Out of the positive experience with the focus groups, Harriott conceived of the idea of

forming a consortium of companies to help fund the commercialization of the SCALPEL technology. However, other chip companies were hesitant to join before a credible commercialization plan was crafted that included the participation of a leading equipment supplier. The fact that SCALPEL had benefited from public funding, however, led the team to consider other U.S. lithography suppliers. The team had heard rumors that the leading U.S. equipment supplier, Applied Materials, had been contemplating expanding its product offerings to include lithography, so they contacted Applied about this rumor. The next day, a representative, not from Applied but from ASML, showed up on Harriott’s doorstep interested in cooperating in the SCALPEL project. It turned out that Applied and ASML had forged an alliance that provided SCALPEL with the technical expertise and global reach using ASML and with the strong tie to the United States from Applied.

Although the Applied-ASML solution seemed logical, putting the deal together required

months of negotiations. First, a technology transfer agreement had to be reached between the new company formed between Applied and ASML (E-Lith LLC), and Lucent that covered which pieces of intellectual property would be shared across the organizations. The two organizations finally penned the agreement on March 4, 1999. It required another seven months for Harriott and his team to construct the consortium. They faced a chicken-before-the-egg situation where E-Lith did not want to get moving on the commercialization until a quorum of IC companies signed up to join the consortium. However, the IC companies were reluctant to join until E-Lith commenced commercialization efforts.

After months of negotiations and many miles of international travel, Harriott and his

SCALPEL team founded the consortium on October 1, 1999, with Lucent, Motorola, Texas Instruments, and Samsung as inaugural members, just six months ahead of the termination of ARPA funding. The members paid dues of $11 million per member over three years in exchange

10David Lammers, “Scalpel is set to go Commercial,” EE Times, 11 December 1998.

UVA-BP-0424

-7-

for IP rights, the right of first refusal, and price discounts on tools. The concept was that the IC companies would get all of their money back through the discounts and by being the first to use the tools.

The funding model of the SCALPEL project progressed from a go-it-alone strategy

during the basic research phase and early tool development stage where Bell Labs funded the project with some grant monies from the U.S. government. During the transition to commercial development, the project team expanded its membership and embraced the consortium approach. The participation of the equipment suppliers who would manufacture the resultant NGL system grew over time. By mid-2000, equipment suppliers actively helped in setting project milestones and even created a structure to reward the project team members at Bell Labs for achieving the milestones.

This represented a new business model for Bell Labs with multiple chip producers and

equipment vendors committed to bringing the technology to market. Determining the Tool’s Entry Point

In 1999, the consensus opinion across the consortium members was that optical

lithography would extend down to the 70 nm node with SCALPEL’s entry at the 70 nm node with extensions to the 35 nm node. The SCALPEL consortium engaged in a high-level quarterly review of the project, but the team members responsible for the next generation of the prototype evaluated the technology’s insertion point into the industry’s technology road map almost weekly. Harriott had been an active contributor to the road mapping exercises across the industry in the lithography area. When asked the degree to which the road maps provided guidance for their technology development projects, Harriott responded that their worth “falls off drastically” beyond a year into the future, and that their creation reflected a combination of “wishful thinking” and technology projections influenced by the attitude of “‘I’ll do better than you no matter what you do.’” The road maps did give equipment suppliers rough target dates for when orders might be placed, according to Harriott.

Liddle observed that you could not run a program based on the “oscillations in the road

map,” which would require a “shifting of resources back and forth” and impede progress. Harriott followed, “[Constructing the road map] is like a poker game.” Liddle agreed that companies are rarely forthcoming as to what they are really doing. Furthermore, the team must remind itself that lithography is only one step in the complete semiconductor process flow and the road maps for the other steps, such as etch, implant, and diffusion, must evolve in a compatible fashion and dovetail with the capabilities of NGL.

UVA-BP-0424

-8-

The Projection Reduction Exposure with Variable Axis Immersion Lenses (PREVAIL) Project11

For decades, it was clear to industry players that new lithography techniques would have to be adopted to maintain the pace of technological change. IBM invested considerably in optical extensions including phase-shift processing techniques and DUV systems. At the same time, IBM led much of the research in alternatives to optical lithography. IBM relentlessly pursued alternatives including EUV, direct-write e-beam, and most notably, X-ray lithography. With Bell Labs and other research labs in Japan and Europe, IBM “carried the development for the industry,” noted Hans Pfeiffer, the head of IBM’s EPL PREVAIL project. After many years of development activities in X-ray lithography, IBM started to curtail its support of X-ray in favor of EPL in the late 1990s. The Challenges of Technology Leadership

John Warlaumont, director of Silicon Technology and the Advanced Semiconductor

Technology Laboratory at IBM, acknowledged the risk associated with uncertainty of tool performance: “The number one problem with NGL is that you are forced to place a bet before you know which technology will prove feasible.” Given the incredible uncertainties surrounding NGL technologies, Warlaumont noted that a rational plan outlining the technology, the specifications, and delivery date was intractable because the situation was always changing. Once a bet is placed, Warlaumont emphasized that to make such a leading-edge project a success required “more than a champion rather almost a fanaticism.” Warlaumont underscored this point: “None of these [cutting-edge technology projects] work unless you have someone who is a fanatic and can climb over roadblocks.”

IBM had to balance its commitment to extending optical systems with its leadership role

in developing next-generation systems. When asked whether IBM’s multiple pursuits spanning both optical extensions and NGL technologies ever distracted the PREVAIL team, Pfeiffer responded that one cannot get distracted by worrying about threats of preemption and one must not “lose sight of the goal until it is reached.” Werner Stickel, a senior technical staff member at IBM and PREVAIL team member, added that they capitalized on the “synergism” between the projects, for example, in the development of resists. Warlaumont, who managed the EPL efforts as well as the 0.193 µm optical projects, said that competition across the projects existed but that talk across the projects also happened as they had the common goal of finding a successful solution in the end.

11 This section draws heavily on the case author’s interviews with John Warlaumont, director of Silicon

Technology and Advanced Semiconductor Technology Laboratory; Hans Pfeiffer, IBM fellow and manager of E-Beam Technology; and Werner Stickel, senior technical staff member for Electron Beam Technology, IBM (July 12, 2000).

UVA-BP-0424

-9-

IBM’s History with Electron-Beam Technologies and the Emergence Of PREVAIL IBM had been one of the first to develop e-beam systems for semiconductor

manufacturing.12 Since the 1970s, the company had used e-beam direct-write systems to make chips for their mainframe computers. Pfeiffer observed, “When SEMATECH coined the phrase, ‘next-generation lithography,’ we chuckled a bit since IBM had been doing e-beam for about 30 years.” In those early days, e-beam lithography permitted a great deal of customization across the chips that went into the mainframes. The present day obsession with wafer throughput did not concern the early customers of mainframes. Instead, they sought the personalization that e-beam lithography could produce. In those early days, up to 32 different chips resided on a single wafer, which would be unheard of today. Warlaumont referred to these early days of the computer industry as “a very futuristic time that the world has not seen before or since.” It was a time of great experimentation and advancement. The microelectronics industry was in its infancy, semiconductor devices were virtually made-to-order, and mass-production facilities had yet to emerge.

As the industry matured and personal computers rather than mainframes became the

principal destination for chips, a number of product markets transformed into commodity markets(e.g., DRAMs), and across most product markets, companies demanded capabilities for high-throughput manufacturing. Pfeiffer acknowledged that attaining a high throughput constitutes “the supreme challenge of e-beam lithography.” Through the 1970s and 1980s, the e-beam systems could process just over 20 wafers per hour. This rate would be considered very respectable for an NGL system in the late 1990s. However, the comparison was misleading because the diameter of the silicon wafer being processed had grown considerably from 57 mm to 300 mm.

The past experience of the IBM engineers with e-beam systems and with each other

distinguished their project from the other NGL projects. Not only had IBM worked on e-beam systems since the early 1970s, but two of the central IBM engineers on the PREVAIL team, Pfeiffer and Stickel, were early contributors to those efforts. By 2000, Pfeiffer and Stickel had worked together for 28 years, and many of the other team members had worked with them for 10 to 20 years. The total size of the core PREVAIL team fluctuated between 50 and 100 people. The PREVAIL project drew on members’ expertise and incorporated attributes from the earlier e-beam systems of the 1980s and early 1990s.

The fundamental approach to the PREVAIL project presented a contrast with the SCALPEL project. Although cognizant of the need to develop a complete lithography system or solution, the PREVAIL team concentrated on the electron optics rather than formulating an error budget for the whole tool. They felt that getting the optics right was the top priority. The team paid a lot of attention to reducing the optical aberrations as well as interaction effects within the electron beam that degraded the resolution. To achieve the desired control over the electron beam, the team worked extensively on a system that Pfeiffer said channeled the electron beam

12 Hitachi was the other big player in e-beam direct-write technology.

UVA-BP-0424

-10-

like “a garden hose on a piece of wire.” They created this channeling effect through a complicated mix of magnetic fields. Collaboration

In contrast to their go-it-alone strategy of the past, the IBM management embraced the consortium concept when thinking about commercializing their EPL technology. They determined that moving the industry from optical systems to NGL required a new model of technology development. According to Pfeiffer, a number of factors paved the way for this change of approach. First, the maturation of the industry saw a larger quantity of technically advanced semiconductor manufacturers. Second, the equipment supplier industry grew in terms of available resources and increased capabilities. Third, IBM’s philosophy increasingly emphasized the need to commercialize research results, particularly following the company’s hard times in 1993 when the PREVAIL project was founded.13 These factors helped to push the PREVAIL team toward a model of collaboration as they moved to high throughput EPL systems.

Among the organizations that IBM talked with about forging a partnership was Bell Labs. However, the discussions broke down. As Warlaumont observed,

There is a difference between Lucent and IBM just like there is a difference between IBM and Intel. IBM likes to generate IP [intellectual property], but we generate that IP in the course of achieving an objective—to deliver the leading-edge microelectronics. To the first order, we deliver a system, which we can use, one that works; our goal is not to generate IP to earn royalties.

This approach led the IBM team to collaborate closely with an equipment supplier rather than spin off the technology to an equipment supplier or group of suppliers like SCALPEL was doing with E-Lith and its other associated suppliers.

Even though IBM and Bell Labs did not work together in terms of tool development, they

did commit to cooperating on a common infrastructure. At IBM’s mask-making facility in Burlington, they were collaborating on mask infrastructure for next-generation lithography.14 With regard to another part of the infrastructure—new resists, IBM’s resist group had historically been an industry leader and helped to formulate resists for the DUV systems including 157 nm lithography. This expertise would be applied to resist development for EPL systems.

As the IBM EPL research team moved towards a proof-of-concept system, they formed an alliance with Nikon in June 1995. In mid-1997, IBM demonstrated the feasibility of an NGL

13 IBM registered a net loss of over $8 billion in 1993. 14 Efforts in Japan to develop e-beam technology would help also with mask infrastructure development. In

addition to working with IBM in their PREVAIL project, Nikon pursued e-beam technologies with NEC and the broader membership of Semiconductor Leading Edge Technologies, Inc., (Selete), which included the leading semiconductor manufacturers in Japan.

UVA-BP-0424

-11-

system based on EPL technology. Nikon’s expertise in manufacturing steppers coupled with IBM’s expertise in electron optics made for a promising partnership. Nikon was an attractive partner from IBM’s perspective, not only because of Nikon’s world leadership in the lithography market, but because Nikon had a ten-year time frame and was willing to bet their money and their name according to Pfeiffer. Their partnership enabled IBM and Nikon to share extensively ideas and concepts concerning EPL systems. IBM and Nikon divided responsibilities as they worked toward a prototype tool (alpha-tool). Nikon assumed responsibility for the subsystems and stages upon which the wafers and masks would sit. Nikon’s expertise in high-speed stages and mechanical handling of the wafers would benefit the team’s efforts in increasing throughput. In mid-2000, the team anticipated finishing the alpha-tool by 2002 or 2003.

Similar to the progression described above for the SCALPEL project, the equipment

suppliers, Nikon in this case, became active participants in defining the milestones for commercialization. In contrast to the earlier stages of the project, the continuation of project funding rested with the project team’s ability to achieve the milestones set by Nikon in accordance with their commercialization plan. The team agreed to semiannual reviews of the project vis-à-vis the milestones. Nikon alone would sell the tool, but IBM would benefit from early access to the tool. IBM considered having early access to the tool the principal benefit to the project and would permit them to have at least a year’s lead in terms of learning to use the tool to its fullest potential.

The EUV (Extreme Ultraviolet) LLC Project15 Although not known for its contributions to fundamental research in the industry, Intel

assumed a leading role in developing next-generation lithography systems based on extreme ultraviolet (EUV) light.16 Bell Labs and IBM shouldered much of the responsibility for fundamental technology development—including for manufacturing equipment—in the United States, but throughout the 1990s, Intel’s level of commitment grew.17

Intel’s personal contacts at the national laboratories run by the U.S. Department of

Energy (DOE) helped the company learn of the early EUV efforts and led Intel to believe that the technology had real potential. Initially, the DOE funded the EUV research as part of the “Star Wars” initiative.18 Since 1986, Intel had contributed approximately $25 million to the U.S.

15 This section draws heavily on the case author’s interview with Charles Gwyn, program director of EUV LLC

Lithography (August 17, 2000). 16 Extreme ultraviolet is also known as “soft X-ray” in the industry, because it falls in the 2-50 nm wavelength

range. Charles Gwyn, et al., “Extreme Ultraviolet Lithography,” Extreme Ultraviolet Limited Liability Company White Paper, November 1999, 2.

17 For an analysis of the transformation of Intel’s approach to R&D, see Henry Chesbrough, “Intel Labs (A) and (B)—Photolithography Strategy in Crisis,” Harvard Business School, Case N-9-600-032 (September 22, 1999).

18 William Holstein, “U.S.-funded Technology stays here, for now,” U.S. News & World Report (May 18, 1998): 5.

UVA-BP-0424

-12-

Department of Energy’s EUV efforts, while the DOE contributed approximately $30 million.19 When the U.S. Congress voted to discontinue funding in 1996, a leader of Intel’s advisory group for R&D, John Carruthers, formed a team that included Charles “Chuck” Gwyn from Sandia National Laboratory who ultimately became the program director. The team’s mandate was to convert the EUV technology from a national security application to a new lithography application for the semiconductor industry. In Gwyn’s words, Intel took the lead role in “applying the discipline” with the national labs providing technical expertise. Subsequently, the EUV consortium formulated a “Virtual National Laboratory” system, through which they tapped into expertise from three national labs: Lawrence Livermore, Lawrence Berkeley, and Sandia (see Exhibit 1).

In contrast to the focus on EPL technologies by Lucent and IBM, Intel placed a major bet

on EUV. Chuck Gwyn observed that Intel commits a lot of energy to risk management and not having a lithography tool when needed threatened the lifeblood of the company.20 Commenting on Intel’s EUV commitment, Gordon Moore, chair emeritus of Intel, asserted, “This industry has been engineered by those who conquered the future, not those who dwelled on the past. We hope through these research efforts to invent the future once again.”21 Risk Management at Intel

Intel’s investment in EUV was by no means its only investments in NGL or, for that matter, in extensions to optical systems. Intel had two to three major investments going at the same time to ensure the pace of technological change stayed on track. The investment in EUV represented a risk-spreading approach both within Intel’s R&D portfolio as well as across the industry’s R&D portfolio. Intel identified lithography as a concern down the road, and the company would do whatever it took to fulfill its business plan. Gwyn acknowledged that the structure of the EUV project presented a number of managerial challenges, but Intel’s approach to NGL was executed in a similar fashion as other cases when Intel identified a hole in its business plan. They identified a problem (the limits of optical lithography), considered the potential resources (the national labs), and developed a structure to make it happen. Formulating the EUV Project

When constructing the EUV project, the project team’s first consideration was timing. Would the technology be preempted by extensions to optical systems? After concluding that the process window was large enough, the team then assessed the risk associated with the technical hurdles required to commercialize the technology. The company backed the team’s assessment that the potential of EUV merited resource commitment. Unlike the previous generations of

19Tom Quinlan, “Intel Partnership Forges new Government-Industry Model,” San Jose Mercury News, 29

September 1997. 20Interview with case writer (August 17, 2000). 21“Group Launches EUV Lithography Consortium,” Semiconductor Business News, 11 September 1997.

UVA-BP-0424

-13-

optical lithography systems, the jump to next-generation systems was considered a revolutionary rather than an evolutionary progression in production technology. To get the timing right, the users of the equipment, the chip companies, assumed an active role. Furthermore, Chuck Gwyn acknowledged that the industry had to go “where the money is”—the coffers of the chip companies—rather than relying on equipment suppliers whose R&D resources were more limited. Overall, Gwyn noted that the industry benefited from this kind of collaboration when it faced a major technological transition.

Even though Intel’s strong financial position would have permitted a go-it-alone

approach, the project team knew that a production technology would never succeed without worldwide acceptance. Furthermore, Intel’s technology committee valued the opportunity to broaden the number of ideas if it expanded membership to multiple companies. This led the project team to recruit external partners.

Given that U.S. national labs had laid the groundwork for the EUV technology, the past

ties to the U.S. government influenced Intel’s selection of project partners. U.S. semiconductor manufacturers constituted the founding members of the consortium. The consortium included two of the leading optical lithography vendors, ASML and Nikon, in the early concept design reviews even though they were not U.S. companies. However, when EUV LLC pursued licensing agreements with ASML and Nikon early in the project, rumblings from the American government arose. As a result of this concern over the involvement of the national labs, as well as the need to preserve U.S. jobs, Nikon pulled out altogether and ASML delayed its licensing agreement until February 1999.22,23 The consortium had no choice but to turn to U.S. equipment companies for tool development, and they signed on Silicon Valley Group and a company founded by Ultratech Stepper, U.S. Advanced Lithography LLC, which would focus exclusively on EUV technologies.24

Membership in the EUV consortium came at a $5 million price tag per share. In 1997,

Intel, Motorola, and AMD founded the EUV Limited Liability Company (LLC) under this membership rule. From the founding in 1997 through 2000, the EUV LLC projected the

22 Concerns from the U.S. Department of Energy delayed the agreement between EUV LLC and ASML for 18

months. (Jack Robertson, “SEMATECH tries to pick Optical Litho Successor,” Semiconductor Business News (December 1998).) The agreement was finally signed on February 24, 1999, after ASML committed to the construction of a factory and R&D center in the United States. In addition, ASML agreed to source 55 percent of the components used in EUV systems sold in the U.S. market from U.S. suppliers. Finally, ASML would facilitate communications between the EUV LLC and the EUCLIDES program in Europe. (David Lammers, “U.S. okays ASML joining EUV Consortium,” EE Times, 24 February 1999.

23 When Infineon Technologies AG (formerly Siemens Semiconductor Group) of Germany joined EUV LLC, criticisms from the U.S. Congress emerged again. Jack Robertson, “Intel joins Japanese EUV group,” Semiconductor Business News, 12 July 2000.

24 The president and CEO of Ultratech Stepper, Arthur Zafiropoulo, vehemently opposed the possibility that EUV LLC would license its technology to equipment suppliers who were not based in the United States because of the contribution made by U.S. taxpayers to DOE’s EUV research. He circulated an open letter in this regard throughout Washington, D.C., and Silicon Valley. Chad Fasca and Dylan McGrath, “Ultratech pushes EUV Plan,” Electronic News, 29 September 1997.

UVA-BP-0424

-14-

investment in EUV would total $250 million.25 The EUV LLC would cooperate with the U.S. Department of Energy’s national laboratories through a Cooperative Research and Development Agreement.26

Both the order that the chip companies bought into the consortium, as well as the number

of shares they purchased determined their placement on the priority list to receive tools. A rumor circulating in the industry claimed that the sizable monetary commitments by the members, particularly by the founding members—Intel, Motorola, and AMD—would be recouped if they ramped up the EUV tools one quarter ahead of the competition.27 The primary benefit to a chip company for joining the EUV consortium was the right of first refusal of any resultant production tool. A preview value also accrued to members where they benefited from learning the technical details, which improved their ability to anticipate how they would optimize their production processes around the new EUV system. Estimates of order fulfillment suggested that nonmembers would have to wait two years to buy the tool, as orders placed by member companies would fill the equipment suppliers’ production capacity. By mid-2000, two additional chip companies joined the consortium: Micron, headquartered in the United States; and Infineon, formerly Siemens Semiconductor Group, headquartered in Germany. Bringing EUV to Market

In the beginning, the EUV LLC project team aimed for the 0.10 µm technology node. Then enhancements to 0.193 µm deep-UV systems came along followed by the entry of the 0.157 µm systems. These extensions to the optical processing standards in the industry, though non-trivial technology feats, proved much easier and faster to implement than an EUV system. The industry downturn in 1997 and 1998 following the Asian financial crisis put further pressure on the EUV project as funding decreased. However, in December 1998, the EUV camp received favorable news from a poll of experts convened by International SEMATECH. The group of experts endorsed EUV and EPL, the SCALPEL system in particular, as the preferred successors to optical lithography.28

To mitigate the uncertainty surrounding the possibility that optical extensions would

preempt the EUV technology, the project team set a new target for entry at the 0.07 µm node in 2005 with a plan to extend to the 0.03 µm node. The project team estimated that this span of performance would cover at least three generations of process technology. They also considered the 0.02 µm node within the realm of possibilities.

25 Intel Press Release, “Government-Industry Partnership to Develop Advanced Lithography Technology,”

(September 11, 1997). 26 For an in-depth analysis of the EUV CRADA, see Greg Linden, David C. Mowery, and Rosemarie Ham

Ziedonis, “National Technology Policy in Global Markets: Developing Next–Generation Lithography in the Semiconductor Industry.”

27 It is interesting to note that Intel, Motorola, and AMD have been staunch rivals in the microprocessor market. 28 Jack Robertson, “SEMATECH Workshop Poll favors EUV and Scalpel,” Semiconductor Business News, 14

December 1998.

UVA-BP-0424

-15-

To pursue their goal of worldwide acceptance for their NGL system, starting in 1999, the EUV LLC decided to help organize an annual conference open to the leading companies in the industry. The first two conferences were held in the United States with the third slated for Japan. Two consortia that had received government funding in the past hosted the conference: the Association of Super-advanced Electronics Technologies (ASET) of Japan, and International SEMATECH based in the United States. Choosing a venue in Japan for the 2001 meeting, coupled with including presenters from Japanese companies, signaled EUV LLC’s commitment to casting a broad net in contrast to the more U.S.-centric orientation early on. In a separate move made in early 2000, Intel accepted an invitation to join another extreme ultraviolet light lithography project undertaken by ASET.29 Firewalls prevented the flow of intellectual property from EUV LLC to ASET’s project via Intel.

As for the division of labor across the consortium, a number of working groups existed.

(See Exhibit 2.) The working groups would hold status meetings every week, and a technology committee with representatives from each of the consortium’s members would meet monthly to review the project’s progress. A committee in charge of intellectual property issues was scheduled to meet approximately once per quarter. The bulk of the experiments would be conducted at the EUV building located at the Sandia National Laboratory facility and in the laboratories of Lawrence Livermore National Laboratory in Livermore, California. EUV LLC assumed responsibility for all development costs and would pay the U.S. government for overhead. The member companies would conduct some experiments in their own facilities principally related to resists and mask-making in anticipation of their specific process needs.

Across all three NGL projects, negotiations concerning intellectual property (IP) required

a great deal of attention from the project leaders. As of 1999, the EUV LLC applied for over 50 patents, which were a portion of the over 200 candidate items identified for IP projection through either patents or trade secrets.30 All IP coming out of the project would be owned by EUV LLC, thus preventing an individual member of the consortium from granting access to IP without the consent of the whole membership. A few of the individual companies owned complementary IP. For example, Intel patented technology related to the mask-making, and the IP portfolio would not cover patents associated with the optics systems. ASML’s optics arm, Carl Zeiss, and SVG’s optics arm, Tinsley, would build the optics systems to EUV’s specifications. Neither Zeiss nor Tinsley would share IP with EUV LLC, and little interaction was expected unless the optics systems fell behind schedule.

From its conception, EUV LLC planned to develop the technology up to the point of

building an alpha-tool or Engineering Test Stand, at which point they would delegate the responsibility of building the production-worthy beta-tool to the three equipment suppliers—ASML, SVG, and Ultratech’s U.S. Advanced Lithography. Simultaneously, the member companies would fund the development of the supporting infrastructure, including mask-making capabilities, resists, and complementary measurement (metrology) tools.

29 Jack Robertson (July 12, 2000). 30 Charles Gwyn, et al., 165.

UVA-BP-0424

-16-

Even though the semiconductor manufacturers who made up the membership of EUV LLC would control the pace and direction of the technology development through its first phase, design reviews would keep the equipment suppliers actively engaged. The suppliers would be responsible for commercialization of the tool, and the EUV LLC would facilitate their involvement in the design, fabrication, and testing stages of the project.31 The EUV LLC benefited from the equipment suppliers’ feedback and made modifications accordingly. By engaging the suppliers early in the development cycle and encouraging them to lay the groundwork for production machines, EUV LLC estimated that they cut the usual tool development time in half (see Exhibit 3 for a timeline).32 Overlap across Projects

Although the three camps were racing for both industry acceptance and the endorsement

of the NGL system of choice, significant overlaps linked the projects. First, a number of the members joined both the SCALPEL and EUV projects. Second, the equipment suppliers in the three consortia had supplier-customer relationships that spanned the consortia: e.g., Lucent bought optical lithography tools from Nikon. Third, the projects, particularly PREVAIL and SCALPEL, required a common infrastructure. Finally, they faced a common threat—continued advancement of optical lithography.

Spreading their bets, some of the chip companies and equipment suppliers joined more

than one NGL consortia. Motorola and ASML assumed active roles in both the SCALPEL and EUV LLC programs. ASML’s optics supplier, Carl Zeiss of Germany, developed the optics for the two programs. Although not a member of Intel’s EUV program, Nikon joined the EUV project in Japan sponsored by the Ministry of International Trade and Industry (MITI) in addition to pursuing its EPL project with IBM as a member of the PREVAIL project.33

Vertical relationships linked the equipment suppliers and chip producers across the

projects.34 As the leading lithography equipment suppliers, Nikon and ASML had relationships with most leading semiconductor manufacturers. Chip producers routinely tracked the leading suppliers’ development activities and often sourced their equipment from more than one supplier. Lucent not only sourced equipment from ASML but had a long-standing relationship with Nikon. Although Lucent continued its relationship with Nikon not only in optical systems but also in the NGL realm (particularly in terms of constructing a common infrastructure), the relationship had become more complicated. For example, the two companies met in court when Nikon contested some of Bell Labs’s e-beam patents. Lloyd Harriott recalled a particular instance of this cooperative-competitive dualism that occurred on a train trip after a court date

31 Charles Gwyn, et al., 51. 32 Charles Gwyn, et al., 152. 33 Yoshiko Hara and David Lammers, “Competitors draw even in Next-Generation Lithography Race.” EE

Times, 29 January 1999. 34 Horizontal relationships also span the projects. For example, Motorola worked with IBM on the development

of the PowerPC microprocessor.

UVA-BP-0424

-17-

for the NGL case. When, wearing his optical lithography hat, Harriott was on his way to visit Nikon to discuss optical systems, a Nikon employee on the same train encouraged him “not to take [the NGL litigation] personally,” in an attempt to smooth relations with an important optical customer.

NGL represented a radical change in an industry’s manufacturing capability, and as such,

required a system-level view. The installation of a supporting infrastructure became a necessary condition for pulling off such a transformation. As part of the common infrastructure for the PREVAIL and SCALPEL EPL projects, a number of parties agreed to cooperate on mask-making and inspection capabilities. These parties included IBM, Motorola, NEC in Japan, Photronics, DuPont, and Etec.35 Companies on this list would also likely fulfill the mask-making needs of the EUV project.

Part of the challenge in developing the supporting infrastructure would be to overcome

the reluctance of ancillary suppliers (e.g., resists producers) to undertake R&D when they were still recovering their R&D expenditures for DUV lithography. To help overcome this reluctance, industry consortia like International SEMATECH funded some of these development costs. For example, International SEMATECH funded research on mask modeling at the University of Wisconsin, among other infrastructure-related projects involving masks, resists, and automation.36 In 1999, International SEMATECH anticipated spending $41 to 45 million, roughly one-third of its budget, in the lithography area as a whole.37 Further support from the U.S. government targeted mask-making through a $9 million federal research contract with Photronics. A portion of the funding was earmarked for a “mask center of competency” located at IBM’s Burlington mask facility under the management of Photronics and open to U.S.-based companies.38 In addition to continuing IBM’s work in X-ray masks, the center would also develop photomasks for EUV, EPL, and ion-beam systems.39

The multi-party cooperative efforts focused on the infrastructure for NGL reflected an

observation made by Jaga Jagannathan, IBM Microelectronics’s project manager for mask technology, “No one company can afford to pay for this work [alone].”40 Alex Liddle from the SCALPEL team echoed this sentiment, noting that the pooling of resources across industry-wide consortia, universities, and multi-company co-development projects, was a necessity when an industry pursued a radical change to its fundamental production technology.

Many overlaps existed across the NGL projects, but the most profound commonality was

their confrontation of extensions to optical lithography. All the companies participating in SCALPEL, PREVAIL, and EUV LLC projects had placed bets on NGL and optical systems. Among the bets made, an investment in SVGL’s 0.193 µm tool by IBM, Intel, Motorola,

35 Etec, known for its mask-writing tools, was acquired by Applied Materials in 2000. 36 “It’s official: EUV, Scalpel get nod from SEMATECH,” Semiconductor Business News, 17 December 1998. 37 David Lammers, “SEMATECH Revises Next-Generation Lithography Plans,” EE Times, 22 January 1999. 38 David Lammers, “IBM Preps R&D Blitz for Next-Generation Masks,” EE Times, 12 July 1999. 39 In an earlier effort to address mask-making, Micron, AMD, and Motorola cooperated with DuPont

Photomasks to create a $75 million center for mask research in 1997 (Lammers). 40 Lammers, “IBM Preps R&D Blitz for Next-Generation Masks.”

UVA-BP-0424

-18-

Samsung, and Hyundai was included to the tune of $10 million each.41 The NGL firms also routinely funded academic research such as Intel’s grants to an MIT lab that experimented with calcium-fluoride optics technology for 0.157 µm systems. In early 1999, the leading lithography companies announced their progress in developing 0.157 µm tools. SVGL expected its prototype tool to be ready by late 2000, while both ASML and Canon anticipated that completion of their prototypes would occur in 2002.42 In early 1999, Nikon predicted the introduction of its 0.157 system by 2003, with performance achievements including the ability to pattern 0.07 µm features and throughput of ninety to one hundred 200 mm wafers per hour compared to forty 200 mm wafers per hour of their EPL system.43

Concluding Observations

Given the industry’s familiarity with optical systems and their large installed base, optical technologies seemed destined to meet the industry’s needs down to the 0.05 µm technology node as reflected by the 1999 industry road map.44 Furthermore, the industry anticipated a compression of time between technology nodes, thus limiting the time to recover development costs. An industry analyst at Dataquest, Klaus-Dieter Rinnen, observed that lithography tools in the past lasted at least two process nodes or approximately eight years in the production facility before being replaced by the newest lithography technology.45 By 1999, the industry’s pace of migration to smaller technology nodes, or “shrinks,” appeared to be speeding up, but deep-UV systems were accommodating these shrinks. In January 1999, the road map constructed by the International Semiconductor Technology Road-Map committee moved the timeline forward by a year with 0.065 µm design rules anticipated in 2005, updating the prior road map that projected 0.070 µm devices in 2006.46 The new road map slotted 0.050 µm devices in 2008.

A commonly held view across the SCALPEL, PREVAIL, and EUV LLC NGL projects was that the others were wasting their time and money. Optical extensions threatened to preempt the EPL systems, although the development costs for the resists and masks required for 0.157 µm optical systems might favor NGL. Since EUV would enter at a later technology node, the preemption threat to EUV was not as severe, but certain dimensions of performance, for example, the tool price and the lack of a supporting infrastructure, called into question its viability. (For more details about the tools, see the Appendix.)

41 Jack Robertson, “ASML to come out first with 193-nm Lithography Tool,” Semiconductor Business News, 3

September 1998. 42 Robertson (February 15, 1999). 43 “Nikon Working on F2 Excimer Laser Steppers,” Nikkei Net, 16 February 1999. 44 In early 1999, Canon announced a new technique to improve the resolution of deep-UV, thereby extending

the life of optical lithography. Canon’s Innovative Double Exposure by Advanced Lithography (IDEAL) system was expected to permit a 157 nm deep UV system to pattern features 60 nm in size. “Canon says Extension Technique will Delay Post-Optical Lithography,” Semiconductor Business News, 12 February 1999.

45 Jack Robertson and J. Robert Lineback, “Speeding Device Shrinks could slow down Next-Generation Litho Sales,” Semiconductor Business News, 1 March 1999.

46 Lammers (January 22, 1999).

UVA-BP-0424

-19-

Hans Pfeiffer from IBM summed up the NGL development efforts as a “horse race”—a horse race that “will not be determined by one team being totally inept”; rather, he asserted that all of the projects would face fundamental technical challenges: “The closer you get, you will see the limits of physics.” By the end of 2000, all three groups were actively working on their alpha-tools while the participating companies weighed the uncertainties and rethought their bets.

UVA-BP-0424

-20-

Virtual National Lab

Lawrence BerkeleyEUV interferometryDefect inspection/analysisEUV scattering experiments

Lawrence LivermoreOptics designMultilayer coatingsMask blanksVisible metrologyPO Box engineeringCondenser design

SandiaSystems engineeringSource developmentMicrostepper experimentsModelingResist development

EUV LLCAMD, Intel, Motorola

Program Direction, Funding, Contracting, Resist Evaluation,

Mask Patterning and Process Development

Semiconductor Equipment

ManufacturersASML, SVGL, USAL

Beta and Production Tools

Subsystem & Component Suppliers

TRW, AES, UT, Osmic, Veeco,

3M, OthersLaser, Gas Jet,

Stages, Mask blanks, Coating tools,

Resists

Virtual National Lab

Lawrence BerkeleyEUV interferometryDefect inspection/analysisEUV scattering experiments

Lawrence LivermoreOptics designMultilayer coatingsMask blanksVisible metrologyPO Box engineeringCondenser design

SandiaSystems engineeringSource developmentMicrostepper experimentsModelingResist development

EUV LLCAMD, Intel, Motorola

Program Direction, Funding, Contracting, Resist Evaluation,

Mask Patterning and Process Development

Semiconductor Equipment

ManufacturersASML, SVGL, USAL

Beta and Production Tools

Subsystem & Component Suppliers

TRW, AES, UT, Osmic, Veeco,

3M, OthersLaser, Gas Jet,

Stages, Mask blanks, Coating tools,

Resists

Exhibit 1

Organizational Responsibilities for EUVL Development Showing Interdependencies and Information Flow

Note: This represents the program structure through 1999. Source: Gwyn, et al., A-2.

UVA-BP-0424

-21-

Management BoardAMD, Intel, Motorola

Committees-------

Finance

Technology

IP

EUV LLCProgram Director

(Chuck Gwyn)Business ManagerBusiness DevelopmentOperations ManagerTechnical AssistantMask DevelopmentResist Development

Advisory Board

I-SEMATECHSIA TWG/NGL

LLNL SNL LBNL

Virtual National Laboratory (VNL)

Subsystem & Component Suppliers

-------TRW, AES, 3M, Tinsley,

Veeco, UT, Others

Semiconductor Equipment

Manufacturers-------

ASML, SVGL, USAL

Management BoardAMD, Intel, Motorola

Committees-------

Finance

Technology

IP

EUV LLCProgram Director

(Chuck Gwyn)Business ManagerBusiness DevelopmentOperations ManagerTechnical AssistantMask DevelopmentResist Development

Advisory Board

I-SEMATECHSIA TWG/NGL

LLNL SNL LBNL

Virtual National Laboratory (VNL)

Subsystem & Component Suppliers

-------TRW, AES, 3M, Tinsley,

Veeco, UT, Others

Semiconductor Equipment

Manufacturers-------

ASML, SVGL, USAL

Exhibit 2

EUV LLC Organization

Note: This represents the organization through 1999. Source: Adapted from Gwyn, et al., A-2.

UVA-BP-0424

-22-

Year

1996 1998 2000 2002 2004

Masks, Resists, EUV Components

Production Tools

Beta Tools

Lab Research Phase(DOE Funded)

TechnologyEnhancements

“Alpha” Tool

LLC/VNL Program(100% Industry Funded)

Risk Reduction LearningVNL Design and Integration

with Tool Supplier Input

Tool Supplier Design and Integration with VNL EUV-Specific Support

Tool Supplier Manufacturing

Year

1996 1998 2000 2002 2004

Masks, Resists, EUV Components

Production Tools

Beta Tools

Lab Research Phase(DOE Funded)

TechnologyEnhancements

“Alpha” Tool

LLC/VNL Program(100% Industry Funded)

Risk Reduction LearningVNL Design and Integration

with Tool Supplier Input

Tool Supplier Design and Integration with VNL EUV-Specific Support

Tool Supplier Manufacturing

Exhibit 3

Parallel Development Activities Supported by the LLC Program

Source: Gwyn, et al., A-1.

UVA-BP-0424

-23-

Appendix

Tool Performance

The three tools may find different uses in the industry due to the different requirements across semiconductor product categories. The life of production masks represents one such difference. Where 3000-5000 wafers may run on a mask set for a DRAM process, only 1500 wafers may run on a microprocessor mask set, and 500 or less for either an Application-Specific Integrated Circuit (ASIC) process flow or a System-On-a-Chip (SOC) process flow before the design is changed.1

Scalpel

When comparing their system to the PREVAIL system, Harriott observed, “There are a lot of similarities, but we did it first.” A slight distinction existed between the PREVAIL and SCALPEL systems related to the type of mask they were using, which influenced the way the electrons would be deflected through the mask. As Harriott noted, “We have both done both,” but SCALPEL was focusing on the scattering mask. SCALPEL’s scattering mask used two layers of thin membranes, versus PREVAIL’s scattering stencil mask that was considerably thicker (1-2 µm versus SCALPEL’s 0.2 µm mask). The SCALPEL consortium would cooperate with the PREVAIL team to arrive at mask standards. Towards this end, Lucent had worked with Nikon since 1998 on developing a standard for the mask. The two groups appeared committed to developing a common infrastructure to support both tools. However, the mask costs for products with short production runs for a given set of masks continued to concern the team. See Appendix Figure 1.

When compared with EUV, SCALPEL’s biggest drawback was throughput, but its mask costs were much lower. In terms of market attractiveness, low throughput lessened SCALPEL’s attractiveness to DRAM producers. But the SCALPEL system might retain some attractiveness for DRAM producers for its advanced development applications. Advanced development would require better resolution than available with optical lithography, and the number of wafers per mask would be small, so SCALPEL’s mask-related cost advantage would apply. SCALPEL’s throughput target was forty 300 mm wafers per hour, and by 2000, the project team was optimistic that a throughput of 24 to 25 wafers per hour was attainable in the near term.

1 Harriott (2000), 9.

UVA-BP-0424

-24-

Appendix (continued)

Prevail

The PREVAIL team made considerable progress in overcoming the technical challenges by mid-2000, but the requirement of consistently achieving the desired resolution while increasing throughput demanded constant attention. Pfeiffer indicated that IBM held an advantage over other development teams pursuing EPL systems, because they had “the luck that they had been thinking about these things for many years.” In contrast, according to PREVAIL team members from IBM, the SCALPEL team did not have extensive experience with electron optics and had to hire a consultant.2 Stickel observed that it is one thing to understand the theory behind electron optics, but he said wryly that “a minor engineering problem” stands between the theory and the commercially viable system. Although IBM had considered EUV in addition to EPL largely because of throughput concerns, Pfeiffer said that in the end, the fact that the “world knows more about EPL than EUV” led to their assessment that EPL would be a safer bet. Stickel also noted that EPL would be evolutionary rather than revolutionary for IBM. In contrast to EPL, EUV required innovations that did not yet exist. As it was, the whole industry greatly favored optical extensions over the new technologies associated with the NGL options, so IBM was reluctant to stray from known technologies any further than it had to. The only upside to EUV was that it looked like an optical system, which on the surface made it attractive.

EUV

In 1999, the EUV project team expected to enter just one generation after SCALPEL and PREVAIL at the 70 nanometer node in 2005. By mid-2000, the project team was optimistic that the alpha-tool would be completed in early 2001 to demonstrate lithography at the 100 nm node. As part of the their efforts to keep the project on schedule, the EUV team adopted a risk- reduction tracking process, by which they would identify and prioritize risks through a numerical scoring system.3 Appendix Figure 2 presents the timeline for tool release and the division of responsibility across the organizations cooperating to develop EUV lithography.

The primary technical challenges that the team identified included the need for the improvement of throughput and the optimization of a laser plasma source to produce the EUV photons used for patterning. Throughput targets increased substantially through mid-2000, from 10 wafers per hour to 40 and finally to an ambitious goal of 80 wafers per hour. In terms of an illumination source, a number of candidate laser plasma sources existed, but as of mid-2000, the consortium had not settled on a particular source.

2 Interview with case writer (July 12, 2000). 3 Gwyn, et al., 148.

UVA-BP-0424

-25-

Appendix (continued) In 1999, the EUV LLC estimated that an EUV system would cost approximately $15

million. Appendix Exhibit 1 lists the primary costs. The team added a 60 percent supplier markup and a 10 percent installation charge in order to arrive at the $15 million figure. When compared with SCALPEL and PREVAIL, EUV’s major drawbacks were the anticipated cost of the tool and masks (see Appendix Exhibit 2). The big plus for EUV was its greater throughput.4 The NGL alternatives would compete head-to-head in Cost of Ownership (CoO). In 1999, EUV LLC estimated a $41 CoO figure (total cost/wafer level exposure) for the system (see Appendix Figure 2 and Appendix Exhibit 3). This was a marked improvement over the $55 estimate in 1998, although well shy of the $25 target.

4 By 2000, Canon reported that its 248 nm and 193 nm deep-UV scanners achieved throughput of 155 200mm

or 88 300mm wafers per hour. Jeff Chappell, “Canon seeks to Re-Conquer U.S. Market,” Electronic News, 24 July 2000).

UVA-BP-0424

-26-

Exposures / Mask

% C

ost o

f Ow

ners

hip

Mask Price:

$60K

$22K

Wafer Size: 300mmDesign Rule: 0.13um

ASIC DRAMS

$30K

Consumables(Mask, Resist . . .)

Equipment( Stepper,Track . .)

One Level/Mask

0%

10%

20%

30%

40%

50%

60%

70%

80%

0 2000 4000 6000

Appendix (continued)

Figure 1

Representation of the Cost of Ownership Components for the SCALPEL System

Source: J.A. Liddle, Lloyd R. Harriott, A.E. Novembre, and W.K. Waskiewicz, “SCALPEL: Projection Electron-Beam Approach to Sub-Optical Lithography,” White Paper, Bell Laboratories, Lucent Technologies (2000): 58.

UVA-BP-0424

-27-

Source Maintenance5%

Maintenance10%

Labor2%

Cleanroom4%

Resist8%

Mask36%

Capital Depreciation36%

Appendix (continued)

Figure 2

Breakdown of Cost-per-Wafer-Level Exposure

Note: may not sum to 100% due to rounding. Source: Gwyn, et al., 157.

UVA-BP-0424

-28-

Appendix (continued)

Exhibit 1

Subsystems Costs for EUV Lithography

Subsystem Estimated Cost

Coated and aligned 6-mirror project optics box $2,000,000

Wafer handling, alignment, sensors 1,000,000

Stages 1,000,000

Assembled exposure chamber 500,000

Control and software 1,000,000

Lasers 2,060,000

Gas jet 750,000

Condenser optics 375,000

Source chamber 325,000

Total $9,010,000

Source: Gwyn, et al., 158.

UVA-BP-0424

-29-

Appendix (continued)

Exhibit 2

Systems Comparisons (1=best performer)

Technology

Anticipated Cost of Ownership

(cost-per-wafer- level exposure)

Anticipated Throughput

(300 mm wafers per hour)

Anticipated

Mask Costs

SCALPEL 1 2

(25-30)

1

PREVAIL 1 2

(25-30)

1

EUV 3

($25)

1

(80)

3

($36,000 with 4000 exposures per mask)

0.157 µm optical lithography1

(2000 projections)

$35

for 0.07µm node

50

$33,000

1 In 2000, the projected tool cost was approximately $16 million.

UVA-BP-0424

-30-

Appendix (continued)

Exhibit 3

Summary of the Main Cost of Ownership Model Input Parameters and Results for the EUV LLC System

Assumptions Target 4Q99 Baseline

4Q98 Baseline

Total utilization % (includes downtime and scheduling effects)

65%

65%

65%

Resist sensitivity (mJ/cm2)

5

7

10

Exposure time per field (sec)

0.30

0.63

0.57

Fields per 300 mm wafer

67

67

67

Non-exposure time per wafer (sec)

25

30

52

Raw throughput (300 mm wafers per hour)

80

50

40

Mask cost

$36,000

$43,000

$70,000

Exposures per mask

4000

2800

4000

Stepper price (includes installation)

$15M

$15M

$15M

Track price (includes installation) $2.75M $2.75M $3.0M Price of multilayer resist processing (includes installation)

$2.2M

$2.2M

$5.6M

Resist cost ($/gallon) $2,500 $2,500 $3,800 Condenser lifetime (hours to replacement) 10,000 9700 6500 Diode lifetime (hours) 7500 7500 7500 Results Exposure tool cost per wafer level exposure

$9

$17

$21

Coating cost (resist and track) per wafer level exposure

$5

$7

$10

Etch cost per wafer $1 $2 $7 Mask cost per wafer level exposure $9 $15 $18 Total cost/wafer level exposure $25 $41 $55 Source: Gwyn, et al., 157.