Multi-domain and mixed-signal simulation of System-on-Chip embedding MEMS

6
1-4244-0276-X/06/$20.00 C 2006 IEEE 7th. Int. Conf. on Thermal, Mechanical and Multiphysics Simulation and Experiments in Micro-Electronics and Micro-Systems, EuroSimE 2006 —1— Multi-domain and mixed-signal simulation of System-on-Chip embedding MEMS S. Basrour, K. Matou, Y. Ammar, M. Marzencki and A. Zenati, TIMA laboratory. 46, avenue Félix VIALLET, 38031 Grenoble Cedex FRANCE E-mail: [email protected] Abstract The aim of this paper is to deal with a new approach for the modelling and the simulation of mixed-signal multi- domain microsystems (MSMD) in the MATLAB®/Simulink® environment. MSMD are systems mixing different domains such as analog and digital electronics, Radio-Frequency modules, Micro-Electro- Mechanical Systems (MEMS), Micro-Optical-Electro- Mechanical Systems (MOEMS) and others on the same chip (System-on-Chip: SoC) or in the same package (System-on-Package: SoP). The heterogeneity of such systems can be seen at different abstraction levels. In fact this heterogeneity is mainly due to the multiphysic domains and also to the nature of the signals available on the chip. The creation of a virtual prototype of such microsystems is very useful because it allows to significantly shorten the design cycle and to reduce the design cost. Due to different domains, the mixed signals, the several non-linearities of the electrical components or MEMS devices and the interdependences of their subsystems, it is very complex to make a global simulation and optimization with traditional approaches and with the same simulator. Several modelling languages can be used, for example SPICE and VHDL- AMS, but one of the major disadvantages of these languages concerns the convergence of the simulation. MATLAB®/Simulink® is a powerful environment where several subsystems can be modelled with analytical equations, electrical circuits, etc… In this paper, we apply our approach to model and to simulate a Self Powered Micro Systems (SPMS) in this simulation environment. Several simulation results, made with different abstraction levels, have been compared and are in very good agreement. Moreover, we report in this paper cosimulation results obtained with Simulink®/SMASHsoftware. These results will prove the efficiency of our approach for the design of microsystems. 1 Introduction One of the most important trends in the Very-Large- Scale-Integration (VLSI) technology from its origins has been the reduction in size and the increase in functionality. It permitted to implement more and more complex systems by combining digital VLSI technologies with control devices incorporating analog and digital electronics, sensors, actuators, communication systems (e.g. RF module) into a single chip (System on Chip: SoC) or into a single package (System on Package: SoP). The simulation of a SoC virtual prototype allows checking the functionality of the individual components and their interaction. The modelling and the simulation of this system, at a high level of abstraction, become more and more important in SoC design due to its increasing complexity. But high level models have the disadvantage that while being simple and fast, they often produce erroneous simulation results. More accurate or refined models may become too slow for system simulation. In this paper a Top-Down approach of modelling and simulation of a heterogeneous microsystem is described. A behavioural model library of different physical domains is presented. These models are described by different languages or descriptions. In Simulink® environment, it is possible to make a global simulation (i.e. System-on-Chip simulation in same environment) and cosimulation with other digital simulators (e.g. SMASHor ModelSim®). This approach provides high accuracy and high simulation speed with subsystems described in different ways. To demonstrate the feasibility of this approach, a Self Powered MicroSystem (SPMS), used as a node in an Autonomous Sensor Network, is employed as a case study. In this case, a micro generator harvests energy from mechanical vibrations present in the environment. Several physical domains are mixed in this system, electrochemical for the micro battery, digital and analog circuits, and electromechanical for the scavenger. 2 Self Powered Microsystems Wireless sensor networks are composed of a set of autonomous microsystems (nodes or motes) that can be Self Powered Micro Systems (SPMS). These microsystems are autonomous when they can harvest energy from the environment (light, temperature, mechanical vibrations, etc…) [1, 2]. This kind of microsystems, sketched in Figure 1 and developed in several research teams world wide, is mainly a SoP, embedding sensors/actuators, micro power generators (μPG) as well as a micro battery or a super capacitor and a microcontroller (ASIC) [2]. This kind of device can be decomposed in three main subsystems: one devoted to RF transceiver, another to sensors and actuators with analog circuits interface and the power management module (or Energy Harvesting Circuit). In this paper, we will focus particularly on the modelling and the simulation of three subsystems: A Micro Power Generator (μPG) based on piezoelectric transduction, An Energy Harvesting Circuit (EHC) composed of an AC-DC converter and a buck DC-DC converter including a FPGA module, A pure resistive load or a micro battery.

Transcript of Multi-domain and mixed-signal simulation of System-on-Chip embedding MEMS

1-4244-0276-X/06/$20.00 C©2006 IEEE

7th. Int. Conf. on Thermal, Mechanical and Multiphysics Simulation and Experiments in Micro-Electronics and Micro-Systems, EuroSimE 2006

—1—

Multi-domain and mixed-signal simulation of System-on-Chip embedding MEMS

S. Basrour, K. Matou, Y. Ammar, M. Marzencki and A. Zenati, TIMA laboratory. 46, avenue Félix VIALLET, 38031 Grenoble Cedex FRANCE

E-mail: [email protected]

Abstract The aim of this paper is to deal with a new approach for

the modelling and the simulation of mixed-signal multi-domain microsystems (MSMD) in the MATLAB®/Simulink® environment. MSMD are systems mixing different domains such as analog and digital electronics, Radio-Frequency modules, Micro-Electro-Mechanical Systems (MEMS), Micro-Optical-Electro-Mechanical Systems (MOEMS) and others on the same chip (System-on-Chip: SoC) or in the same package (System-on-Package: SoP). The heterogeneity of such systems can be seen at different abstraction levels. In fact this heterogeneity is mainly due to the multiphysic domains and also to the nature of the signals available on the chip. The creation of a virtual prototype of such microsystems is very useful because it allows to significantly shorten the design cycle and to reduce the design cost. Due to different domains, the mixed signals, the several non-linearities of the electrical components or MEMS devices and the interdependences of their subsystems, it is very complex to make a global simulation and optimization with traditional approaches and with the same simulator. Several modelling languages can be used, for example SPICE and VHDL-AMS, but one of the major disadvantages of these languages concerns the convergence of the simulation. MATLAB®/Simulink® is a powerful environment where several subsystems can be modelled with analytical equations, electrical circuits, etc… In this paper, we apply our approach to model and to simulate a Self Powered Micro Systems (SPMS) in this simulation environment. Several simulation results, made with different abstraction levels, have been compared and are in very good agreement. Moreover, we report in this paper cosimulation results obtained with Simulink®/SMASH™ software. These results will prove the efficiency of our approach for the design of microsystems.

1 Introduction One of the most important trends in the Very-Large-

Scale-Integration (VLSI) technology from its origins has been the reduction in size and the increase in functionality. It permitted to implement more and more complex systems by combining digital VLSI technologies with control devices incorporating analog and digital electronics, sensors, actuators, communication systems (e.g. RF module) into a single chip (System on Chip: SoC) or into a single package (System on Package: SoP).

The simulation of a SoC virtual prototype allows checking the functionality of the individual components and

their interaction. The modelling and the simulation of this system, at a high level of abstraction, become more and more important in SoC design due to its increasing complexity. But high level models have the disadvantage that while being simple and fast, they often produce erroneous simulation results. More accurate or refined models may become too slow for system simulation.

In this paper a Top-Down approach of modelling and simulation of a heterogeneous microsystem is described. Abehavioural model library of different physical domains is presented. These models are described by different languages or descriptions. In Simulink® environment, it is possible to make a global simulation (i.e. System-on-Chip simulation in same environment) and cosimulation with other digital simulators (e.g. SMASH™ or ModelSim®). This approach provides high accuracy and high simulation speed with subsystems described in different ways.

To demonstrate the feasibility of this approach, a Self Powered MicroSystem (SPMS), used as a node in an Autonomous Sensor Network, is employed as a case study. In this case, a micro generator harvests energy from mechanical vibrations present in the environment. Several physical domains are mixed in this system, electrochemical for the micro battery, digital and analog circuits, and electromechanical for the scavenger.

2 Self Powered Microsystems Wireless sensor networks are composed of a set of

autonomous microsystems (nodes or motes) that can be Self Powered Micro Systems (SPMS). These microsystems are autonomous when they can harvest energy from the environment (light, temperature, mechanical vibrations, etc…) [1, 2]. This kind of microsystems, sketched in Figure 1 and developed in several research teams world wide, is mainly a SoP, embedding sensors/actuators, micro power generators (μPG) as well as a micro battery or a super capacitor and a microcontroller (ASIC) [2].

This kind of device can be decomposed in three main subsystems: one devoted to RF transceiver, another to sensors and actuators with analog circuits interface and the power management module (or Energy Harvesting Circuit).

In this paper, we will focus particularly on the modelling and the simulation of three subsystems: − A Micro Power Generator (µPG) based on piezoelectric

transduction, − An Energy Harvesting Circuit (EHC) composed of an

AC-DC converter and a buck DC-DC converter including a FPGA module,

− A pure resistive load or a micro battery.

—2—

7th. Int. Conf. on Thermal, Mechanical and Multiphysics Simulation and Experiments in Micro-Electronics and Micro-Systems, EuroSimE 2006

Figure 1: Example a schematic of a wireless sensor node harvesting environmental vibrations.

The design optimization of the EHC and the µPG would drastically increase the autonomy of the SPMS. Up to now, the design and simulation of these subsystems have not been performed with the same CAD tool.

3 Modelling and simulation approaches

Global SimulationSeveral papers on the modelling and the global

simulation of microsystems are reported in the literature [3, 6]. In the majority high-level hardware description language VHDL-AMS is used. This language has been developed for the modelling and simulation of mixed analog-digital circuits and multi-disciplinary systems. But the simulation of nonlinear circuits is limited by convergence.

The proposed approach of microsystem modelling and simulation, based on a Top Down method, is performed in the MATLAB®/Simulink® environment.

The microsystem is partitioned according to the physical domains of the blocks. The description of each block is made in different ways as shown in Table 1.

Simulink® is specifically designed for the simulation of dynamic systems with nonlinearities. It allows a comfortable modelling and simulation of continuous or mixed discrete continuous blocks. The subsystems can be described by analytic equations based on Ordinary Differential Equations (ODEs). In fact, thanks to this approach a more realistic behaviour of the components involved in the blocks can be represented. Some parameters such as temperature can be included in modelling and thus in the simulations. Thanks to different Simulink® solvers [7], the divergence problems and the simulation time can be significantly reduced. The subsystem can also be described in the electrical domain in this environment. If the analytical description and equivalent electrical circuit are difficult to obtain, the subsystems can be represented in some cases by a Look up Table. For example, an electrochemical battery is difficult to describe with analytical equations or equivalent electrical circuits, for these reasons a Look up Table is more suitable for the behavioural modelling of this device [8].

With this software environment, the simulation of several blocks described in different ways is possible thanks to interface adaptor. For example, an analytical model connected to an electrical model.

Micro Power

Generator

AC-DC and DC-DC

Converters

Micro Battery

Digital Controller

Analytical Equations x x x x Electrical Circuits x x x

Look up Table x x VHDL

(Cosimulation) x Table 1: Several descriptions for studied subsystems of the

microsystem in the Simulink® environment.

Moreover, during the design process, the designer can choose between basic and detailed models without any modification of the interface adaptor or the surrounding blocks.

Thanks to this approach, the microsystem can be simulated entirely in the same environment.

CosimulationCosimulation consists in the interaction between two

simulators using a master/slave interface. For example, Simulink® is a master and SMASH™ is a

slave [9]. SMASH™ simulates the digital component described in VHDL language. This VHDL block is included into Simulink® thanks to a wrapper based on a C mex API and an S-function. During the simulation, the two simulation kernels are synchronised and share data on their Input/Output (I/O).

The ModelSim® software can also be used [10] for this purpose. The cosimulation uses a TCP/IP connection via sockets, so that the cosimulation can run on different host computers.

4 Modelling of a micro power generator and an EHC The approach was tested while modelling and

simulating SPMS power parts. In this section, the different models of the micro power generator are described. An example of algorithm implemented in a digital controller is also explained.

4.1 Micro power generator models Recently, industrial and university research groups have

focused their attention on Power Generators based on piezoelectric transducers. They produce an alternative electrical signal from environmental vibrations. Figure 2 shows the structure of a bimorph piezoelectric transducer [11].

7th. Int. Conf. on Thermal, Mechanical and Multiphysics Simulation and Experiments in Micro-Electronics and Micro-Systems, EuroSimE 2006

—3—

Figure 2: A piezoelectric generator based on a bimorph structure.

The models developed for this typical structure are closely related to the excitation input, the physical properties of employed materials (Young modulus, piezoelectric coefficients…), and the geometry.

In the Simulink® environment, the µPG behaviour can be described with: - a block diagram, - an equivalent electrical circuit, - a block diagram combined with an electrical circuit.

4.1.1 Analytical model The analytical equations modelling the behaviour of the

bimorph piezoelectric transducer are extracted from the constitutive equations of piezoelectricity according to [11]. In the Laplace domain, the relation that binds the output

voltage pV of the transducer with the input acceleration **y

and the resistive load loadR is given in the equation (1).

( )pload

n

pload

nenne

pload

ccp

CRW

sCR

WkWsW

CRs

ystdYa

V2

2223

**

2121

21

+⎟⎟⎠

⎞⎜⎜⎝

⎛+++⎟

⎟⎠

⎞⎜⎜⎝

⎛++

=

ςςε

(1)

Where: − a =1 if the two layers of the device are wired in series

and a=2 if they are wired in parallel. − ε, piezoelectric permittivity constant. − d, piezoelectric strain coefficient. − cY , shim Young modulus. − ct , thickness of a single layer of the piezoelectric

material. − loadR , load resistance. − pC , capacitance of the piezoelectric bender. −

nW , natural frequency of the piezoelectric transducer

− eς , damping ratio. − k , coupling factor.

Block diagram, shown in Figure 3, represents the analytical model of a bimorph piezoelectric transducer. It is used to solve equation (1).

1Vp

Ksp*d*a/(2*m*tc)

tension

Wn^2

spring

-K-

damping

b1

b1

Subtract

1/s

Integrator4

1/s

Integrator3

1/s

Integrator2

1/s

Integrator1

1/s

Integrator

-2*d*Yc*tc/(a*e)

Gain3

Divide1Divide-CpC1

CpC

2Ip

1Acceleration input

(a)

Sine Wave

Rload

R

Divide

Acceleration input

Ip

Vp

Analytical model of the piezoelectric generator

(b) Figure 3: (a) Analytical model for the piezoelectric generator,

(b) Analytical model for the piezoelectric generator with acceleration source and a resistive load.

The analytical model can be compiled in an S-function for faster simulation.

4.1.2 Equivalent electrical circuit Another description for the piezoelectric transducer is

an equivalent electrical circuit. This model is deduced from the analytical relation mentioned above. If the coupling factor k is weak, the model is a simple circuit composed of a current source and a capacitor in parallel [12, 13]. The values for amplitude of current Ip and the capacitor Cp are extracted from the previous model. If the coupling factor is large or unknown, the equivalent circuit extracted from the analytical equations is based on two parts. A motional branch obtained with RmCmLm in series is connected to the electrical part of the model (Cp) thanks to a transformer (transduction effect).

Simulink® can be used as a Spice simulator thanks to SimPowerSystems Toolbox and so the equivalent circuit for the transducer can be implemented using different passive components available in this Toolbox as shown in Figure 4.

The dimensions for the piezoelectric micro generator are given in [11]. This micro power generator is excited with an acceleration of 2.5m.s-2 at a frequency close to 120 Hz. It is connected at a resistive load of 24 kΩ.

Piezoelectric elements

Electrodes

EExx cc

ii tt aatt ii oo

nnFF

Metal Shim

—4—

7th. Int. Conf. on Thermal, Mechanical and Multiphysics Simulation and Experiments in Micro-Electronics and Micro-Systems, EuroSimE 2006

2 P-

1P+

1

3

2

4

Transformater

Rm Lm

Cps -

+Controlled Voltage Source

Cm

1Stress input

Figure 4: Equivalent electric circuit of a piezoelectric generator.

Figure 5 shows the simulation results of this micro power generator described by analytical and electrical models. In this figure the output voltage Vp on the resistive load is represented. The simulation results of the two models are in good agreement.

-3,5

-2,5

-1,5

-0,5

0,5

1,5

2,5

3,5

0,2 0,25 0,3

Time (s)

Vp

(V)

Figure 5: Simulation results for Vp versus time for the piezoelectric micro power generator (analytical and electrical models).

4.1.3 Analytical to electrical interface adaptor In the Simulink® environment, it is possible to combine

the electrical elements with the analytical equations as shown in Figure 6.

Figure 6: Equivalent analytical/electrical circuit of a piezoelectric generator.

The piezoelectric generator described by the analytical model in Figure 3-a is easy linked to electrical components.

4.2 Digital Module In the Simulink® environment, the digital components

can be described with analytical equations or Stateflow toolbox.

In our study case, in order to increase the current Ibatflowing through the resistive load, a digital module is used in the DC-DC converter [2]. A control algorithm is implemented in this module. It gives the value of duty cycle of PWM generator. The duty cycle is given by equation (2).

s

on

TT

=α (2)

Where Ton is the on time and Ts is the period of the PWM signal.

The variation of the duty cycle is computed using equation (3).

⎪⎪⎩

⎪⎪⎨

=⇒=∂

⎟⎠

⎞⎜⎝

⎛∂

∂+=⇒≠∂

+

+

iibat

batnii

bat

I

IKI

ααα

ααα

α

1

1

0

sgn20 (3)

Where n is an integer, it increases when the sign of ∂Ibat/∂αdoes not change. αi is the previous duty cycle and K is the fixed rate of changing the duty cycle.

The digital controller has been modelled with block diagram as shown in Figure 7.

1

D

z

1

Unit Delay8

z

1

Unit Delay7

z

1

Unit Delay6

z

1

Unit Delay5

z

1

Unit Delay4

z

1

Unit Delay3

z

1

Unit Delay2

z

1

Unit Delay1

Switch3

Switch2

Switch1

Switch

Subtract1

Subtract

In1

In2

Out1

Out2

Subsystem1

SignSaturation

PulseGenerator

Product4

Product3

Product2

Product

alpha

Duty CycleRate of change

Divide

RunningSum

In

Rst

CumulativeSum

-1

Constant6

1

Constant5

-1

Constant4

0

Constant3

1

Constant2

1

Constant1

1

Ibat

Figure 7: Analytical model of the digital controller.

The VHDL description of this algorithm has been done with SMASH™.

5 Simulation results Figure 8 shows an example of cosimulation. The blocs

are described as follows: − the piezoelectric generator is described by combining

electrical circuits and analytical equations, − the EHC is modelled by electrical circuits, and the

digital controller is described with VHDL language.

2P-

1P+

Ksp*d*a/(2*m*tc)

tension

Wn^2

spring

2*zeta*Wn

damping

b1

b1

v+-

Voltage Measurement1/s

Integrator2

1/sIntegrator1

1/sIntegrator

-2*d*Yc*tc/(a*e)

Gain3

Cps-

+

Controlled Voltage Source

-Cp

1Acceleration input

Analytical equations

Analytical/Electrical adaptor

Equivalent electrical circuit Analytical equations

7th. Int. Conf. on Thermal, Mechanical and Multiphysics Simulation and Experiments in Micro-Electronics and Micro-Systems, EuroSimE 2006

—5—

Figure 8: Block diagram of the power harvesting subsystem.

The implementation of the electrical circuits is performed using the SimPowerSystems toolbox. But this toolbox does not contain the Spice models of diodes and MOS transistors. Consequently, a new Spice toolbox has been developed. These models are made in an analytical way and analytical/electrical adaptors have been created for each component.

The digital controller which is described in the VHDL language is simulated with SMASH™. This language is based on the IEEE-1164 standard. The frequency for the PWM is set to 1 kHz. The initial duty cycle αi for the algorithm is 2%, the rate of changing of the duty cycle is equal to 0.5%. The time between two variations of duty cycle is set to 2s. The adaptive algorithm is based on the current Ibat flowing into a resistive load (replacing the micro battery).

For the cosimulation, we have used the characteristics of micro power generator described in section 4.1.2. The results from Figure 8, in discrete mode, are presented in the Figure 9.

The simulation results show that both current flowing into the resistive load and duty cycle are stabilized 20 seconds after the beginning.

Table 2 shows the execution times in both global simulation and cosimulation for 20 seconds simulation time. The simulations have been performed in continuous and discrete modes. In continuous mode, we have used the ode23s solver proposed by Simulink®. This solver is the most adapted for microsystem simulations whose components are nonlinear and contain discontinuities. In discrete mode, the solver of variable-step type is discrete. The time discretization is fixed to 50 microsecondsaccording to the frequency of the PWM.

The execution times are very high when the SPMS is described by electrical circuit in continuous mode. On the other hand, they are drastically reduced when the microsystem is described by analytical equations in discrete mode. The execution times of the global simulation and cosimulation are equal thanks to the use of an S-function core for communication SMASH™/Simulink®.

0 10 20 30 40 50 60 70 800

20

40

60

80

100

120

140

160

180

200

TIME (s)

Ibat

(uA

)

(a)

0 10 20 30 40 50 60 70 802

4

6

8

10

12

14

TIME (s)

Dut

y C

ycle

(%

)

(b) Figure 9: Cosimulation with VHDL and equivalent electrical

circuits: (a) Ibat evolution versus time, (b) Duty cycle evolution versus time.

Global Simulation Cosimulation Digital

Controller Analytical equations VHDL

EHC Electrical circuits

Analytical Equations

Electrical circuits

Analytical equations

µPG and µBatterie.

Electrical circuits

Analytical Equations

Electrical circuits

Analytical equations

Continuous mode

3 hours 7mn 27mn 3 hours

7mn 27mn

Discrete mode

(Td =50us) 27mn 13mn 27mn 13mn

Table 2: Execution times of SPMS for different description types (for 20 seconds simulation).

Micro Power Generator

(analytical equations)

Analytical/ Electrical Interface

AC-DC Converter

(electrical circuits)

DC-DC Converter

(electrical circuits)

Resistive load

(electrical circuit)

Digital Controller

(VHDL)

EHC

Simulink

SMASH

Ibat

Duty Cycle

—6—

7th. Int. Conf. on Thermal, Mechanical and Multiphysics Simulation and Experiments in Micro-Electronics and Micro-Systems, EuroSimE 2006

Figure 10 shows a very good agreement between both global simulation and cosimulation for our system.

0 10 20 30 40 50 60 70 800

20

40

60

80

100

120

140

160

180

200

Time (s)

Ibat

(u

A)

Global simulationCosimulation

Figure 10: Ibat evolution versus time for cosimulation and global simulation with analytical models.

Figure 11 shows a discrepancy between equivalent electrical circuits and analytical models results. It is due to the simple models of electrical components used to describe the DC-DC converter.

0 10 20 30 40 50 60 70 800

20

40

60

80

100

120

140

160

180

200

TIME (s)

Ibat

(uA

)

Equivalent electrical circuitsAnalytical models

Figure 11: Ibat evolution versus time: comparison between equivalent electrical circuit representation and analytical models simulations (Cosimulation).

6 Conclusion In this paper, we have presented a new approach for the

modelling and the simulation of complex System-on-Chip embedding MEMS. The subsystems have been written using different languages in a same environment or in coupled environment like Simulink®/SMASH™. The execution times of the global simulation and the cosimulation are very similar. When the time discretization of the blocks is performed the simulation time is drastically reduced.

References 1. Marzencki, M., Basrour, S., Charlot, B., “Modelling

and Optimisation of Integrated Piezoelectric Micro Power Generators”, Design, Nanotech 2005, Vol. 3, June 2005, pp. 545-548.

2. Ammar, Y., Buhrig, A., Marzencki, M., B. Charlot, Basrour, S., Matou, K., Renaudin, M., “Wireless Sensor network node with asynchronous architecture and vibration harvesting micro power generator”, sOc-EUSAI Conference, Grenoble, October 2005, pp. 287-292.

3. Pêcheux, F., Lallemen, C., Vachoux, A., “VHDL-AMS as alternative Hardware Description Languages for efficient Modeling of multidiscipline systems”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 24, No 2 (2005), pp. 204-225.

4. Levitan, S. P., Martinez, J. A., Kurzweg, T. P., Shormsky, M., Marchand, P. J., Chiarulli, D. M., “System Simulation of Mixed-Signal Multi-Domain Microsystems with Piecewise Linear Models”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 22, No. 2 (2003), pp. 139-154.

5. Mukherjee, T., Fedder, G. K., Ramaswamy, D., White, J., “Emerging Simulation Approaches for Micromachined Devices”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 19, No 12 (2000), pp. 1572-1589.

6. Nikitin, P. V., Shi, R., “VHDL-AMS based modeling and simulation of mixed-technology microsystems: a tutorial”, VLSI Journal of Integration (2006).

7. Shampine, L. F., Reichelt, M. W., Kierzenka, J. A., “Solving Index-1 DAEs in MATLAB and Simulink”, SIAM Review, Vol.41 (1999), pp 538-552.

8. Ammar, Y., Basrour, S., “Behavioural Modelling of Micro-Batteries for Self Powered Micro Systems”, DTIP of MEMS and MOEMS, May 2004, pp. 101-105.

9. www.dolphin.fr/medal/SMASH/notes/simulinkSMASH.pdf. 10. The MathWorks, “Link for ModelSim”, online

documentation. http://www.mathworks.com, The MathWorks Inc. Natick (2005).

11. Roundy, S., Wright, P. K., “A piezoelectric vibration based generator for wireless electronics”, Smart Mater. Struct, No. 13 (2004), pp. 1131-1142.

12. Ottman, G. K., Hofmann, H. F., Lesieutre, G. A., "Optimized piezoelectric energy harvesting circuit using step-down converter in discontinuous conduction mode", IEEE Transactions on power electronics, Vol. 18, No. 2, March 2003, pp. 696-703.

13. Kim, H. W., Batra, A., Priya, S., Uchino, K., Markley, D., Newnham, R. E., Hofmann, H. F., “Energy harvesting using a Piezoelectric “Cymbal” Transducer in dynamic Environment”, Japanese Journal of Applied Physics, Vol. 43, No. 9A (2004), pp. 6178-6183.