Chapter 4. Nanostructures Technology, Research ... - CORE

30
Chapter 4. Nanostructures Research Chapter 4. Nanostructures Technology, Research, and Applications Academic and Research Staff Professor Henry I. Smith, Dr. Mark L. Schattenburg, Richard J. Aucoin, James M. Carter, Robert C. Fleming, Euclid E. Moon, Irving Plotnik, Scott E. Silverman Visiting Scientists and Research Affiliates Nubuyoshi Koshida' Graduate Students Martin Burkhardt, David J. Carter, Mike T. Chou, Jay N. Damask, Sean M. Donovan, Juan Ferrera, Nitin Gupta, Scott D. Hector, Hang Hu, James J. Hugunin, Arvind Kumar, Huiying Li, Alberto M. Moel, Akbar A. Moolji, Gabrielle M. Owen, Satyen Shah, Vincent V. Wong, Isabel Y. Yang, Anto Yasaka, Kenneth W. Yee Undergraduate Students Julie C. Lew, Brian M. Smith Technical and Support Staff Donna R. Martinez, Mark K. Mondol, Jeanne M. Porter, Robert D. Sisson 4.1 NanoStructures Laboratory The NanoStructures Laboratory (NSL) at MIT (for- merly the Submicron Structures Laboratory) develops techniques for fabricating surface struc- tures with feature sizes in the range from nanome- ters to micrometers and uses these structures in a variety of research projects. The NSL includes facilities for lithography (photo, holographic electron beam, ion beam, and x-ray), etching (chemical, plasma and reactive-ion), liftoff, electroplating, sputter deposition, and e-beam evaporation. Much of the equipment and nearly all of the methods uti- lized in the NSL are developed in house. Gener- ally, commercial integrated circuit (IC) processing equipment cannot achieve the resolution needed for nanofabrication, and it lacks the required flexibility. Research projects, described briefly below, fall into four major categories: (1) development of submicron and nanometer fabrication technology; (2) nanometer and quantum-effect electronics; (3) periodic structures for x-ray optics; spectroscopy and atomic interferometry; and (4) crystalline films on non-lattice-matching substrates. 4.2 Scanning Electron-Beam Lithography Facility Sponsors Joint Services Electronics Program Contract DAAL03-92-C-0001 Semiconductor Research Corporation Contract 94-MJ-550 Project Staff Scott E. Silverman, Professor Henry I. Ferrera Smith, Juan Since its inception in 1978, the Submicron Struc- tures Laboratory (recently renamed the NanoStruc- tures Laboratory) has relied on outside facilities, most notably the Naval Research Laboratory (NRL) in Washington, D.C., and IBM in Yorktown Heights, New York, for scanning-electron-beam lithography (SEBL). In November 1993 we received, as a donation from IBM, an SEBL system, designated the VS-2A, shown in figure 1. 1 Tokyo University of Agriculture and Technology, Tokyo, Japan.

Transcript of Chapter 4. Nanostructures Technology, Research ... - CORE

Chapter 4. Nanostructures Research

Chapter 4. Nanostructures Technology, Research, andApplications

Academic and Research Staff

Professor Henry I. Smith, Dr. Mark L. Schattenburg, Richard J. Aucoin, James M. Carter, Robert C. Fleming,Euclid E. Moon, Irving Plotnik, Scott E. Silverman

Visiting Scientists and Research Affiliates

Nubuyoshi Koshida'

Graduate Students

Martin Burkhardt, David J. Carter, Mike T. Chou, Jay N. Damask, Sean M. Donovan, Juan Ferrera, Nitin

Gupta, Scott D. Hector, Hang Hu, James J. Hugunin, Arvind Kumar, Huiying Li, Alberto M. Moel, Akbar A.

Moolji, Gabrielle M. Owen, Satyen Shah, Vincent V. Wong, Isabel Y. Yang, Anto Yasaka, Kenneth W. Yee

Undergraduate Students

Julie C. Lew, Brian M. Smith

Technical and Support Staff

Donna R. Martinez, Mark K. Mondol, Jeanne M. Porter, Robert D. Sisson

4.1 NanoStructures Laboratory

The NanoStructures Laboratory (NSL) at MIT (for-merly the Submicron Structures Laboratory)develops techniques for fabricating surface struc-tures with feature sizes in the range from nanome-ters to micrometers and uses these structures in avariety of research projects. The NSL includesfacilities for lithography (photo, holographic electronbeam, ion beam, and x-ray), etching (chemical,plasma and reactive-ion), liftoff, electroplating,sputter deposition, and e-beam evaporation. Muchof the equipment and nearly all of the methods uti-lized in the NSL are developed in house. Gener-ally, commercial integrated circuit (IC) processingequipment cannot achieve the resolution needed fornanofabrication, and it lacks the required flexibility.Research projects, described briefly below, fall intofour major categories: (1) development ofsubmicron and nanometer fabrication technology;(2) nanometer and quantum-effect electronics; (3)periodic structures for x-ray optics; spectroscopyand atomic interferometry; and (4) crystalline filmson non-lattice-matching substrates.

4.2 Scanning Electron-BeamLithography Facility

SponsorsJoint Services Electronics Program

Contract DAAL03-92-C-0001Semiconductor Research Corporation

Contract 94-MJ-550

Project Staff

Scott E. Silverman, Professor Henry I.Ferrera

Smith, Juan

Since its inception in 1978, the Submicron Struc-tures Laboratory (recently renamed the NanoStruc-tures Laboratory) has relied on outside facilities,most notably the Naval Research Laboratory (NRL)in Washington, D.C., and IBM in Yorktown Heights,New York, for scanning-electron-beam lithography(SEBL). In November 1993 we received, as adonation from IBM, an SEBL system, designatedthe VS-2A, shown in figure 1.

1 Tokyo University of Agriculture and Technology, Tokyo, Japan.

Chapter 4. Nanostructures Research

4.3 Spatial-Phase-LockedElectron-Beam Lithography

SponsorsJoint Services Electronics Program

Contract DAAL03-92-C-0001Semiconductor Research Corporation

Contract 94-MJ-550U.S. Army Research Office

Grant DAAL03-92-G-0291

Figure 1. Photograph of VS-2A scanning-electron-beamlithography system donated to MIT by IBM.

This is an experimental system based on manyyears of IBM technology development in SEBL.The VS-2A system will be the cornerstone of a newSEBL facility located in Building 38. The newfacility should be in full operation by May 1994.

The goals of the new facility are to (1) provide theMIT research community with an in-house SEBLcapability for writing directly on experimental devicesubstrates; (2) advance the state-of-the-art inSEBL, particularly with regard to pattern placementaccuracy and long-range spatial phase coherence;and (3) pattern x-ray nanolithography masks. Ourapproach to improved pattern placement accuracyis based on a new technique, recently invented atMIT, called spatial-phase locking. It is expectedthat the new SEBL facility will concentrate onsub-100 nm electronic and quantum-effect devicesand optoelectronic devices such as DFB lasers andchannel dropping filters for wavelength-division mul-tiplexing in optical communication systems.

Project Staff

James M. Carter, Juan Ferrera, Scott E. Silverman,Professor Henry I. Smith, Vincent V. Wong

It is well known that scanning-electron beam lithog-raphy can write extremely fine lines, -10 nm in thinPMMA and -1 nm in AIF 3. However, becausewriting fields in electron-beam lithography are quitesmall (103 to 104 beam steps), large-area patternsmust be created by stitching together the smallfields, using a laser interferometer to provide X-Ypositioning information. However, it is often over-looked that, due to instability and drift, the precisionwith which this can be done is much poorer thanthe resolution. Typically, stitching errors of 20 to 50nm (often larger) are observed at field boundaries.

We have proposed to solve this problem by devel-oping a technology we call spatial-phase-lockedelectron-beam lithography (SPLEBL), which willprovide pattern placement accuracy and precisionfiner than the resolution. A global fiducial grid isplaced on the substrate. The grid is created byholographic lithography, ensuring long-rangespatial-phase coherence. It is transparent to thebeam, but enables a control computer to keep trackof the beam location by means of phase-lockingtechniques and thus correct for any drift in thesystem.

In order to demonstrate the efficacy of SPLEBL,x-ray masks for distributed-feedback lasers andchannel-dropping filters were fabricated in collab-oration with IBM's Thomas J. Watson ResearchCenter. These classes of devices have linewidthsof -115 nm and span many fields of the e-beamlithography system. They require field stitchingerrors of -1 nm for good performance. We haveachieved stitching errors below 2 nm and havedemonstrated sub-nanometer repeatability forspatial-phase locking. Initial results are shown infigures 2 through 4.

62 RLE Progress Report Number 136

Chapter 4. Nanostructures Research

Stitching Error (Phase Discontinuity)at E-Beam Field Boundary

S-0.95

1 #1 set#2

S-1.5 100 200 300 4 500 600

nm).

One-Dimensional Spatial-Phase Locking

1009 -1.2S =.34nm).nm

70

60CdS50

coum 40de

-0.15 -0.1 -0.05 0 0.05 0.1 0.15

position (beamsteps) JF93060.03

Figure 3. Histogram of the phase difference between two successive frame acquisitions on a reference fiducial grating,corrected for stage drift. The standard deviation value of 0.3 nm represents the repeatability or precision of spatial-phase locking in 1-D for our experimental conditions: 8 periods of the 200 nm gold reference grating per viewingwindow; 100 pA beam current at 50 kV; a p-n diode backscatter detector.

Chapter 4. Nanostructures Research

Field 2 Field 4

} Af = 380 nm

= 230 nmAg

Mieia -Oboundaries Quaiter-wave shift

JF930911.03a

Figure 4. Scanning-electron micrograph of a channel-dropping filter (CDF) grating (spatial period 230 nm) written acrossfour scan fields of the e-beam lithography system. The gratings, which are too fine to be seen directly, are made visiblevia a moir6 between them and the SEM scan raster. The fiducial reference (spatial period = 380 nm) was put in thesubstrate in advance. The quarter-wave phase step is clearly visible in the CDF grating, but no phase errors are visibleat the boundaries of the four scan fields.

4.4 X-Ray Nanolithography

SponsorsAdvanced Research Projects Agency/

Naval Air Systems CommandContract N00019-92-K-0021

Joint Services Electronics ProgramContract DAAL03-92-C-0001

National Science FoundationGrant ECS 90-16437

Project Staff

James M. Carter, Nitin Gupta, Scott D. Hector,Gabrielle M. Owen, Dr. Mark L. Schattenburg, Pro-fessor Henry I. Smith, Vincent V. Wong, Isabel Y.Yang

For several years, we have been developing thetools and methods of x-ray nanolithography (i.e.,sub-100 nm features). We have explored its the-oretical and practical limitations, and endeavored tomake its various components (e.g., mask making,resists, electroplating, sources, alignment, etc.) reli-able and "user friendly". Because of the criticalimportance of the x-ray mask technology, wediscuss this in a separate section (4.5).

Our sources for x-ray nanolithography are simple,low-cost electron-bombardment targets, typicallyCuL (A = 1.32 nm), separated by a 1.4 pm-thickSiNx vacuum windows from helium-filled exposurechambers. In the future we hope to replace the CuLsources with higher flux plasma-based x-raysources.

For most applications that require multiple maskalignment, we currently use a simple microscope-based system which provides about 0.3 pm super-position precision. We are also developing (seesection 4.6) a high precision mask alignmentsystem that should provide overlay approaching 1nm.

In earlier research, we showed that for x-ray wave-lengths longer than -0.8 nm, the range of thephotoelectron emitted when an x-ray photon isabsorbed in resist does not limit the resolution.Down to feature sizes -20 nm, diffraction is themajor concern. By means of accurate electromag-netic calculations, taking into account the vectorialcharacter of the electromagnetic field and thedielectric properties of the absorber, we haveshown that when source spatial coherence is opti-mized, diffraction does not limit resolution as

64 RLE Progress Report Number 136

, Field 1I- -00 1" I] o i-

. I-1- •

Field 3

Chapter 4. Nanostructures Research

severely as had been predicted by simple Fresneldiffraction calculations.

Figure 5. Plot of maximum mask-sample gap, G, versusminimum feature size, W, for two values of the parameterUx.

Figure 5 plots the maximum mask-to-sample gap,G, versus minimum feature size, W, for two valuesof the parameter a which connects gap and featuresize: G = oW 2/A. Modeling and experiment verifythat a can be as large as 1.5 while retaining goodprocess latitude.

For the linewidth range from 70 to 20 nm, mask-substrate gaps must be below 5 pm. This is not aproblem in research but for manufacturing may beunacceptable. For this reason, we investigated thefeasibility of using arrays of zone plates forprojection imaging with x-rays of either 4.5 nm or-1.0 nm wavelength.

4.5 Improved Mask Technology forX-Ray Lithography

Sponsors

Advanced Research Projects Agency/Naval Air Systems CommandContract N00019-92-K-0021

Joint Services Electronics Program

Contract DAAL03-92-C-0001National Science Foundation

Grant ECS 90-16437

Proximity Gap vs. Linewidth Project Staff

Martin Burkhardt, James M. Carter, Juan Ferrera,Scott D. Hector, Huiying Li, Mark K. Mondol,Gabrielle M. Owen, Dr. Mark L. Schattenburg,Robert D. Sisson, Professor Henry I. Smith, VincentV. Wong, Isabel Y. Yang

At feature sizes of 100 nm and below, the mask-to-sample gap, G, must be less than -10 pm. Wehave developed a mask configuration compatiblewith this requirement in which the mask membraneis flat to < 250 nm. We continue to make incre-mental improvements in this technology aiming at aprocess that will enable x-ray mask blanks to befabricated almost entirely with automated IC pro-cessing equipment.

Our mask technology is based on low-stress, Si-richsilicon nitride, SiNx. This material is produced inthe IC Laboratory at MIT in a vertical LPCVDreactor. The resulting films are clean and uniform,and x-ray mask membranes made from them areextremely robust. They can be cleaned and pro-cessed in conventional stations. Radiationhardness remains a problem at dose levels corre-sponding to production (i.e., millions of exposures)but for research the material is quite suitable.

For absorber patterns we use both gold, Au, andtungsten, W. Both can be obtained with near-zerostress (i.e., < 107 MPa) which implies that patterndistortion should be negligible (i.e., < 1 nm). Thegold is electroplated onto the membrane after resistexposure and development using a speciallydesigned apparatus. The W is sputter depositedand patterned by reactive-ion etching. Duringsputter deposition, the plasma environment leads toa nonuniform temperature distribution, which, inturn, causes nonuniform stress. In order to ensureuniform W stress over an entire membrane, a He-backside temperature homogenization apparatuswas constructed. Heat is transferred to the mem-brane from a heated aluminum block separatedfrom the membrane by a 1 mm gap. Results areshown in figure 6. We verify the achievement ofstress < 107 MPa using a Linnik interferometerequipped with a CCD, a frame grabber and specialpurpose software that averages out-of-plane deflec-tion over an entire frame.

3000 50 100 150 200 250Minimum linewidth (nm)

Chapter 4. Nanostructures Research

Uniform, Zero- stress W via He- backside TemperatureHomogenization

100 -50He 5 torrT=200C

E I31 mmS 50 Without He

0 5 10 15o With He0 -250

center edge

-100 I I - 500 5 10 15

Position on Membrane (mm) jEdge of Membrane Center of Membrane

Figure 6. Plot of out-of-plane deflection (left ordinate) and stress (right ordinate) versus radial position for 300 nm-thickW, sputter deposited at 2000C onto a SiNx x-ray mask membrane, with and without He backside temperature homogeni-zation. A uniform zero stress is achieved with He, whereas stress is nonuniform without the He.

We have also constructed an apparatus that makesprecision measurements of membrane deflection inresponse to gas pressure differential. This "bulgetester" will enable us to make non-destructive mea-surements of absorber stress in the low 106 MParange.

Patterning of x-ray masks is done by holographiclithography for periodic structures but, for patternsof arbitrary geometry, it is done by e-beam lithog-raphy, either in the MIT e-beam facility or in collab-oration with NRL or IBM. We use CAD tools at MITand convert the data into formats compatible withthe e-beam exposure systems. Data is shipped toNRL or IBM by electronic mail. After e-beam expo-sure, masks are shipped back to MIT by expressmail where development and Au electroplating arecarried out. This collaboration has already demon-strated that patterning x-ray masks by e-beam canbe done remotely, and, by implication, that univer-sity researchers with limited facilities can haveaccess to nanolithography via x-ray alone and donot need to own or even visit an e-beam lithog-raphy facility. Figure 7 shows an x-ray mask of acoulomb-blockade device in which the finest line-width in the 200 nm-thick electroplated gold is 40nm.

For patterning x-ray masks with W absorber, areactive-ion-etching process is required which putsconsiderable power into the membrane substrate.Since membranes have very low thermal mass andconductivity, we use He- backside cooling in a reac-tive ion etcher. Membranes can be cooled to below-20oC. At such low temperatures the isotropicetching component is suppressed leading to highlydirectional etching.

4.6 A High Precision Mask AlignmentSystem

Sponsors

Advanced Research Projects Agency/Naval Air Systems CommandContract N00019-92-K-0021

Joint Services Electronics ProgramContract DAAL03-92-C-0001

Project Staff

Euclid E. Moon, Professor Henry I. Smith

66 RLE Progress Report Number 136

Chapter 4. Nanostructures Research

KY931214

Figure 7. Scanning-electron micrograph of an x-ray mask of a coulomb-blockade device (so-called "single-electron tran-

sistor") in which the tunnel-barrier gate is only 40 nm wide. The mask pattern was written by scanning-electron-beam

lithography at the Naval Research Laboratory, and the electroplating to 200 nm thickness was done at MIT.

In order for any lithographic technique to be ofgeneral utility, a compatible alignment techniquemust also be provided. The technique must becapable of superposition precision that is a smallfraction of the minimum feature size. Previously,we demonstrated a new scheme for aligning x-raymasks to substrates that was based on the principleof on-axis interference from gratings on the maskand substrate that differ in spatial period (e.g., pi onmask, P2 on the substrate). The interferencepattern was compared in spatial phase to a fixedfiducial on the mask using a CCD camera and fre-quency domain image analysis. An alignment stan-

dard deviation of 6 nm was achieved. The goal ofthe present work is threefold: first, to improve thesuperposition precision by an order of magnitude;second, to fully automate the alignment process;and third, to make the system compatible withindustrial x-ray lithography sources and steppers.In order to improve the superposition precision, anew alignment mark was designed that consists oftwo adjacent gratings on the mask (p, and p2)facing two complementary gratings on the substrate(P2 and pi). The resulting on-axis interferencepattern consists of two sets of fringes of the samespatial period which move in opposite directions.

Chapter 4. Nanostructures Research

By matching them in spatial phase, one achievesalignment. We believe that in conjunction with aCCD and appropriate image processing, a superpo-

Figure 8. Alignmentmask and substrate.in both X and Y.

sition precision below 1 nm can be achieved.Figure 8 illustrates the basic principle.

marks containing a (pl, P2) pair for X alignment and a (pi, P2) pair for Y alignment. (a) Marks for(b) Depiction of interference pattern that would be obtained when mask and substrate are aligned

A new X, Y, Z, 8 stage is being constructed whichincorporates high-resolution closed-loop drives andpiezos with sub-nanometer repeatability. A widevariety of masks and wafers can be accommo-dated, including the NIST and MIT mask standards,as well as small substrates and eight inch wafers.An automatic image acquisition and alignment algo-

rithm has been written which compares the spatial-phases of an on-axis interference pattern in about200 msec. These improvements will be incorpo-rated in a system configuration, shown in figure 9,which is consistent with those under development inindustry.

68 RLE Progress Report Number 136

PP

P2 PlMask Mark (a) Wafer Mark

Interference Pattern

(b)

Chapter 4. Nanostructures Research

Figure 9. Schematic of optical configuration for on-axis interfe

4.7 Optimization of Synchrotron-BasedX-ray Lithography

Sponsors

Advanced Research Projects Agency/Naval Air Systems CommandContract N00019-92-K-0021

National Science FoundationGrant ECS 90-16737

Project Staff

Richard J. Aucoin, Scott D. Hector, Dr. Mark L.Schattenburg, Professor Henry I. Smith

There are two approaches to high flux x-raysources for commercial x-ray lithography: hot,dense plasmas, generated either by lasers or elec-trical discharges; and synchrotrons. Traditionally,

rometric alignment system.

the former have operated around A = 1.4 nm andthe latter below A = 1 nm, with a substantial frac-tion of the absorbed power below the Si K edge at0.7 nm. Operation at the shorter wavelengths dic-tates thicker absorber (-0.6 pm) which becomes adifficult task at 100 nm linewidths. Our analysisindicates that the peak of the synchrotron spectrumabsorbed by resist can be shifted to 1 nm or evenlonger without loss of exposure efficiency. This isillustrated in figure 10. Such a shift would greatlysimplify the mask-making task. However, toaccomplish this the 25 pm-thick Be vacuum windowcurrently used in synchrotrons must be replaced bya -2 pm-thick Si window. We have demonstratedthat SiNx membranes this thick can withstand apressure differential of one atmosphere. We arecurrently testing various versions of elliptical (2.5 x55 nm) windows as possible vacuum windows forsynchrotrons.

Chapter 4. Nanostructures Research

SPECTRUM OPTIMIZATION0.67 nm

0.25 . ,

E Si K edge I02 Present Spectrum (A)0.2 ',

A

o 0.15 E-C I

0.5 1 1.5 2

By shifting the peak to longer wavelength, spectra B, C,Wavelength(nm)POWER ABSORBED IN PMMAFigure 10. Comparison of the current Helios synchrotronspectrum (A) with four alternative spectra achieved byuse of a 2 cm-thick Si vacuum window and modificationof either the beam energy or the beam-line mirror angle.By shifting the peak to longer wavelength, spectra B, C,D, and E require thinner mask absorber and increase thex-ray power absorbed in the resist. Spectrum E is pre-ferred.

4.8 Achromatic HolographicLithography

Sponsor

Joint Services Electronics ProgramContract DAAL03-92-C-0001

Project Staff

James M. Carter, Satyen Shah, Professor Henry I.Smith

Holographic schemes are preferred for the fabri-cation of periodic and quasi-periodic patterns thatmust be spatially coherent over large areas, andfree of phase steps. For spatial periods below 200nm, light sources with wavelengths below 200 nm

must be used. All such sources have limitedtemporal coherence, and thus one is forced toemploy an achromatic scheme, such as shown infigure 11. In order to make the apparatus morereliable, the depth-of-focus had to be increased. Tothis end, we introduced a collimating lens and a slitscanning system. We have also incorporated awhite-light interferometer that utilizes optical pathsthrough the quartz plates that closely approximatethe paths of the exposing beams. A photodetectorand lock-in amplifier (figure 12) are used to find theoptimum sample position. With the substrate to beexposed in place, the white light interferometerensures maximum fringe contrast during exposurewith the 193 nm deep-UV radiation. Using theseimprovements, we can reliably expose 100 nm-period gratings over areas of more than 2 cm 2. Weplan to use this system to fabricate 100 nm-periodx-ray masks and free-standing gratings for He atominterferometry. We also plan to take the techniqueone step further to 50 nm periods (25 nm lines andspaces) using a 13 nm undulator as a source.

4.9 Ion Beam Lithography

Sponsor

Joint Services Electronics ProgramContract DAAL03-92-C-0001

Project Staff

Scott E. Silverman, Professor Henry I. Smith, AntoYasaka

Focused-ion-beam (FIB) systems are potentiallyuseful as nanolithography tools because of the nearabsence of backscattering, which is a significantproblem in electron-beam lithography, especially onhigh-atomic-number substrates. We currently workwith Be++ ions and have demonstrated 50 nm linesand spaces. The FIB system is being modified toaccept an improved Be alloy source. We willexplore the feasibility of combining spatial-phase-locking with FIB lithography. This could prove to bethe superior technology for making x-raynanolithography masks based on W absorbers.

70 RLE Progress Report Number 136

Chapter 4. Nanostructures Research

Figure 11. Achromatic-holographic lithography (AHL) configuration in which the separation between the substrate andthe second phase grating is controlled by a piezoelectric micrometer.

Detection Scheme forWhite-light Interferometer

White-light beamPhotodlode -.

7 ~< "lLock-In Amplifiers Sine-wave Generator

S High VoltageAmplifier

Substrate

PiezoelectricDisplacers

Figure 12. Schematic of white-light interferometer used to match optical path lengths in the AHL configuration. Acollimated white-light beam is split into two paths and recombined to interfere at a photodiode. An interference peak,detected via a lock in amplifier, indicates a matching of path lengths and hence maximum image contrast in the AHLconfiguration.

Chapter 4. Nanostructures Research

Figure 13. Schematic of 0.1 m channel-length self-aligned NMOSFET.Figure 13. Schematic of 0.1 pm channel-length self-aligned NMVOSFET.

4.10 High Performance Self-alignedSub-100 nm MOSFETs Using X-rayLithography

SponsorsAdvanced Research Projects Agency/

Naval Air Systems CommandContract N00019-92-K-0021

IBM CorporationContract 1622

Joint Services Electronics ProgramContract DAAL03-92-C-0001

Project Staff

Professor Dimitri A. Antoniadis, James M. Carter,William Chu,2 Hang Hu, Kee Rhee,2 ProfessorHenry I. Smith, Lisa T.-F. Su, Isabel Y. Yang

We have fabricated sub-0.1 pm N-channelMOSFET devices using x-ray lithography.Extremely well-controlled short-channel effects wereachieved through appropriate channel andsource/drain engineering.

Figure 13 shows the device schematic. Theretrograde channel doping profile was accomplishedusing shallow indium and deep boron implants.The source/drain halo extensions were formedusing a low energy arsenic implant with indium pre-amorphization and counter-doping. The self-alignedpolysilicon gates were fabricated using x-raynanolithography and an anisotropic etching process.The aligned, microgap x-ray exposures were carriedout using mesa-etched SiNx x-ray masks with Auabsorber pattern. The original (mother) mask pat-terns were written at the NRL by e-beam lithog-

2 Naval Research Laboratory, Washington, DC.

72 RLE Progress Report Number 136

Nitride

Spacer 1300 nm

Chapter 4. Nanostructures Research

raphy, and then several duplicates (daughters) weremade using x-ray lithography. We have character-ized the chemically amplified resist SAL-601 anddeveloped a process which allowed us to achievethe minimum linewidths with control and a largeprocess latitude. This involved optimization of thepost exposure bake time and temperature. Wefound that with a post-exposure temperature of110 0C and a post-exposure baking time of 40seconds, minimum linewidths of approximately 100nm were obtained. To eliminate "skin" formation ontop of the SAL-601, a thin (200-250 nm) layer ofpolyvinyl alcohol (PVA, which is water soluble) wasspun on top of the resist. The resist served as amask for etching a thin LTO layer which, in turn,acted as a hard mask for the selective anisotropicetching of polysilicon using C12 plasma. Figure 14shows the self-aligned SAL-601/LTO. Figure 15shows a polysilicon gate defined by x-ray lithog-raphy and C12 plasma etching.

Figure 16 shows the I-V characteristics of asub-0.1pm nMOSFET with Len of 850 nm and 5.3nm gate oxide. This device has a current drive of0.74 nA/pm and a saturated transconductance of500 nS/mm at 2.0 V power supply with a thresholdvoltage of 0.36 V and a sub-threshold slope of 88.1mV/dec. This indicates that these 0.1pm MOSFETdevices fabricated by x-ray lithography exceed theperformance of the current state-of-the-art 0.1pmMOSFET devices produced by e-beam lithography.

4.11 Fabrication of T-gate DevicesUsing X-ray Lithography

Sponsor

Advanced Research Projects Agency/Naval Air Systems CommandContract N00019-92-K-0021

Project Staff

James M. Carter, Nitin Gupta, Professor Henry I.Smith

Monolithic microwave integrated circuits (MMICs)have potential applications in automobile navigation,collision-avoidance, and personal wireless commu-nication systems. High-speed MODFET devicesrequire very short gate lengths, while preservinglow resistance. Large gate widths are required forhigh current drive. To meet these conflicting

demands, researchers have developed so-called"T-gate" and "gamma-gate" processes in which thebase of the gate is very short (-100 nm) while theupper part is large and overlaps the short base,similar to a mushroom, or the letters T or G. Suchstructures are readily achieved using direct-writeelectron-beam lithography (EBL). However, thistechnology is expensive, slow, and unlikely to meetfuture production volume needs. For thesereasons, we developed a process for fabricatingT-gates using x-ray lithography. Initial results wereobtained using tri-layer PMMA. The three layersconsisted of a bottom one of 950K PMMA, a middlelayer of PMMA/MAA (the co-polymer of PMMA),and an upper layer of 496K PMMA. These threeresists have different x-ray sensitivities. Exper-imental results are shown in figures 17 and 18.

Figure 14. Patterning for MOSFET gate with x-raylithography exposure of chemically-amplified resistSAL-601 and RIE of low-temperature oxide using CHF 3.

0.08 um

Chapter 4. Nanostructures Research

FFigure 15. Scanning-electron micrograph of high performance 0.1 pm NMOSFET.

0.5 1 1.5

DRAIN VOLTAGE Vds (V)

Only one alignment, one exposure, and one devel-opment are required. However, the process latitudeis narrow, and the process may not be suitable formanufacturing.

In order to enhance the process latitude, we arepursuing a bi-layer process that utilizes high-sensitivity chemically amplified resists. Thisprocess has the flexibility that arises from two sepa-rate alignments, exposures, and developments.

There are a number of interesting directions thatmay be followed once a high latitude process isestablished. For manufacturable MMIC systems,both MESFETs and HEMTs are required for low-noise and power applications. Initially, relativelysimple GaAs MESFETs will be studied, followed byGaAs and InP HEMTs of varying degrees of com-plexity. Further studies could include low-temperature-grown GaAs MESFETs for highbreakdown voltages, self-aligned devices, or gatematerials other than Au, such as W.

74 RLE Progress Report Number 136

Polysilicon Gate120 nm

88i~re~1Field _ 800 nmOxide

I

0.8

E

a S0.6

IW 0.4

c

0

Figure 16. I-V characteristics of a 85 nm-channel-lengthNMOSFET. The saturated Gm is 500 mS/mm.

I

i

Chapter 4. Nanostructures Research

496K PMMA

PMMA/MAA

950K PMMA

N9 Substrate

z -- F-120nm

Figure 17. Scanning electron micrograph showing the resist profile cross-section for a 120 nm T-gate.

Chapter 4. Nanostructures Research

Figure 18. Scanning electron micrograph of a 250 nm T-gate (Ti/Au) after lift-off.

4.12 Studies of Coulomb Charging Project Staffmmmcts ana unneiin in emiona toEffects and I unneling in SemiconuctorNanostructures

Sponsors

Joint Services Electronics ProgramContract DAAL03-92-C-0001

U.S. Air Force - Office of Scientific ResearchGrant F-49-62-92-J-0064

Professor Dimitri A. Antoniadis, Martin Burkhardt,David J. Carter, Arvind Kumar, Professor MichaelR. Melloch,3 Professor Terry P. Orlando, ProfessorHenry I. Smith

Quantum-effect devices, whose minimum featuresizes are comparable to the Fermi wavelength(about 50 nm in a typical inversion layer), havepromising potential in novel electronics applications.Quantum-dot devices have drawn particular atten-tion. In such devices an electron gas is confinedelectrostatically in all three dimensions, forming a

3 Purdue University, West Lafayette, Indiana.

76 RLE Progress Report Number 136

Chapter 4. Nanostructures Research

small "island" of electrons -100 nm, bounded on allsides by potential walls. This small electron"island" resembles an atom in that there can beonly an integer number of electrons, and theseelectrons can occupy only certain discrete energylevels. The conductance of the dot, when con-nected to leads through tunneling barriers, exhibitsstrong oscillations as the voltage of the gate isvaried. Each successive conductance maximumcorresponds to the discrete addition of a singleelectron to the dot. At temperature in the mKrange, the conductance decreases by orders ofmagnitude in between adjacent conductancemaxima because there is a large energy cost for anelectron in the lead to enter the dot. This energycost can be removed by changing the gate voltage,resulting in the observed periodic dependence ofthe conductance on gate voltage.

A variety of tunneling devices were fabricated usingx-ray nanolithography and measured at cryogenictemperatures. The devices were fabricated onmodulation doped AIGaAs/GaAs substrates. Aftermesa etch and deposition of ohmic contacts, x-raynanolithography was used to put down the gatepatterns. A typical fabrication sequence for thegate level of a device design is shown in figure 19.After the fabrication of the mother mask usinge-beam lithography and electroplating, a daughtermask is made using x-ray lithography at a gapbetween mother and daughter mask of about 1.5pm (figure 19b). As an x-ray source we use an

electron bombarded copper target emitting CuLx-rays (A = 1.3 nm). The daughter mask is thenused to expose device patterns on appropriatesemiconductor substrates, as illustrated. For thegates, aluminum was evaporated to a thickness of120 nm and lifted off. The ability of x-ray lithog-raphy to expose vertical profiles in thick resistsensures easy liftoff and simplifies device processingbecause only one gate metalization is needed.

A novel type of quantum dot device, featuringcontrol over the shape of the quantum dot, wasalso fabricated using x-ray nanolithography. Thedevice consists of four consecutive quantum pointcontacts which can be controlled independently. Aquantum dot is produced by biasing two pairs ofquantum point contacts below the first subband.This allows only tunneling into the out of the dot totake place. The remaining gates can then be usedto sweep the electrochemical potential within thedot. The size of the quantum dot can be adjustedup to a size of 600 nm by biasing the two outer-most quantum point contacts below the firstsubband. A micrograph of the fabricated device isshown in figure 20. The device was measured inan Oxford Instruments 300 mK probe. StrongCoulomb blockade oscillations were observed forseveral Coulomb dot sizes at a temperature of 310mK. Figure 21 shows a typical plot of conductanceversus gate voltage for a quantum dot defined bythe two outermost pairs of quantum point contacts.

Figure 19. Fabrication sequence of tunnel devices using x-ray nanolithography: (a) mother mask; (b) daughter mask;(c) finished device.

devicemother mask daughter mask

MB940222.01

Chapter 4. Nanostructures Research

MB940222.02

Figure 20. SEM micrograph of the variable sized quantum dot.

15 T = 308 mKVds=100 gV ACVdot=-0.7V

10

CO

5

-0.4 -0.3 -0.2 -0.1 0

Vsweep [M Device MBE23J F5, File F50

Figure 21. Plot of conductance versus gatepoint contacts.

voltage for a quantum dot defined by the two outermost pairs of quantum

78 RLE Progress Report Number 136

Chapter 4. Nanostructures Research

4.13 Dual Electron Waveguide DeviceFabricated Using X-ray Lithography

Sponsors

Advanced Research Projects Agency/Naval Air Systems CommandContract N00019-92-K-0021

National Science FoundationGrant DMR 87-19217Grant DMR 90-22933

Project Staff

Professor Jesis A. del Alamo, William Chu,Christopher C. Eugster, Professor Henry I. Smith

Dual-electron-waveguide devices were fabricatedusing x-ray nanolithography. An electron wave-guide is essentially a one-dimensional channel inwhich electrons travel without scattering. In ourdevice, two such channels are electrostaticallyformed in close proximity to one another bydepleting those electrons in an AIGaAs/GaAsmodulation-doped heterostructure which resideunderneath the gates. The x-ray mask used in thefabrication process was patterned using 50 keVe-beam lithography at the Naval Research Labora-tory. After replicating this mask to change itspolarity (using x-ray lithography), we align thedaughter mask to the AIGaAs/GaAs sample andexpose using x-ray nanolithography.

In an electron waveguide, much like in an opticalwaveguide, discrete transverse modes arise due tolateral confinement. The conductance of eachwaveguide mode is equal to a fundamental con-stant 2e 2/h. This results from the cancellation ofthe energy dependence in the product of the 1Ddensity of states and the electron velocity. Figures22 and 23 show the results of testing the dual elec-tron waveguides at 1.6K.

4.14 Novel MesoscopicSuperconducting Devices

Sponsor

Joint Services Electronics ProgramContract DAAL03-92-C-0001

Project Staff

Professor Dimitri A. Antoniadis, David J. Carter,James J. Hugunin, Professor Terry P. Orlando, Pro-fessor Henry I. Smith

Superconducting integrated circuits have demon-strated fast switching speeds, low power dissipa-tion, and low-loss wiring to distant devices. One ofthe hurdles between these devices and a practicaltechnology is the lack of a useful three-terminaldevice. We are currently addressing that problemwith the examination of several forms of supercon-ducting FETs on both Si/Si0 2 and GaAs/AIGaAs.By exploiting the quantum interference effects foundin very short channel devices (<100 nm), webelieve it will be possible to produce three-terminalsuperconducting devices with gains greater thanunity.

In addition to the promise of a practical technology,these devices can be used to investigate severalinteresting questions in mesoscopic physics. Inparticular, we are interested in the interactionbetween the macroscopic quantum states in thesuperconductor and the mesoscopic quantumstates available in traditional quantum-effectdevices. By exploiting our experience with quantumdots and quantum point contacts on both Si/SiO2and GaAs/AIGaAs, we hope to study the uniqueeffects predicted for these structures with supercon-ducting contacts. These include quantization of thesuperconducting critical current, supercurrentcarried by resonance states, and others.

4.15 Channel-Dropping FiltersFabricated Using X-ray Lithography

Sponsors

Joint Services Electronics ProgramContract DAAL03-92-C-0001

U.S. Army Research OfficeGrant DAAL93-92-G-0291

Project Staff

James M. Carter, Jay N. Damask, Juan Ferrera,Professor Hermann A. Haus, Professor Leslie A.Kolodziejski, Euclid E. Moon, Professor Henry I.Smith, Vincent V. Wong

Chapter 4. Nanostructures Research

Dual Electron-Waveguide Device

r

Cl

cm0O0

0U"o

o0)0

-1.5 -1.2 -0.9 -0.6 -0.3

Split-Gate Voltage VGS (V)

Middle Gate

Waveguide 1

Waveguide 2

CE930728.01

IFigure 22. Plot of conductance versus split-gate voltage (proportional to waveguide width) for each of two waveguides,as illustrated. Note that conductance is approximately quantized in steps of 2e2/h.

80 RLE Progress Report Number 136

10 00

6e

00

2

0

Chapter 4. Nanostructures Research

Family of Quantized Conductance Steps,Revealing Location of Impurity

T =1.6 K

0 L_-4.0 -0.5

Bottom Gate Voltage VGB (V)

Middle Gate

Figure 23. Plot of conductance versus bottom gate voltage for several values of the middle gate bias, which has theeffect of shifting the location of the conducting channel. Perturbations of the conductance steps reveal the location of aninpurity in the gap between gates.

04

04(00.Eo

Cu

0

0r=m

or

O(.)

Chapter 4. Nanostructures Research

Channel-dropping filters (CDFs) are novel optoelec-tronic devices which are promising candidates forfuture wavelength-division-multiplexed optical com-munication systems. Figure 24 shows a schematicof a CDF. The device operation relies on thefrequency-selective nature of the quarterwave-shifted (QWS) grating structure, commonly used toimprove longitudinal mode control in distributedfeedback (DFB) lasers. Such QWS-DFB structurescan interact with optical signals in such a way as toselectively transmit, reflect or detect the signals(i.e., wavelengths) that are resonant with the struc-ture. We work with two material systems for theoptical waveguides: InGaAsP/InP and silica-on-silicon. For such material systems the necessarygrating lengths can span over 1 mm and the gratingperiods are -240 nm and -500 nm for InGaAsP/InPand silica-on-silicon, respectively. Furthermore, the

Figure 24. Schematic of ae-beam lithography system,channel f2.

grating lines must be spatially-coherent to withinAo/150 over the entire span to reduce linewidthbroadening and spectral offsets from the desiredresonance, and to avoid spurious responses in thefilter spectrum. Recently, we have developed anew technique called spatial-phase-locked e-beamlithography (SPLEBL) which has demonstrated aninterfield phase-locking precision standard deviationof o- = 0.35 nm. Figure 4 of section 4.3 shows ane-beam-written QWS-DFB grating with a period ofAg = 230 nm. The continuity of the moir6 patternacross the field boundaries indicates no discerniblestitching error, while the Tr-phase shift at the QWSboundary (field 3) indicates a true A/4 phase step.Using SPLEBL x-ray masks have been written withseveral QWS-DFB gratings of various lengths andperiods.

quarter-wave-shifted channel-dropping filter. The gratings, which span several fields of anmust be precisely tuned and spatially coherent in order to selectively tap off the narrow

We are also utilizing a novel on-axis interferometricalignment scheme to obtain sub-0.1 pm alignmentof the QWS-DFB grating (x-ray level) to the ribwaveguides (optical level). Such accuracy is nec-essary to minimize the resonant effect of the

QWS-DFB grating on the unloaded waveguide, oroptical bus. X-ray lithography and reactive-ion-etching in CHF 3 is then used to transfer theQWS-DFB gratings into the rib waveguide.

82 RLE Progress Report Number 136

Channel-Dropping Filter

Chapter 4. Nanostructures Research

4.16 Ridge-GratingDistributed-Feedback Lasers Fabricatedby X-ray Lithography

Sponsors

Joint Services Electronics ProgramContract DAAL03-92-C-0001

U.S. Army Research OfficeGrant DAAL03-92-G-0291

Project Staff

James M. Carter, Woo-Young Choi, Juan Ferrera,Professor Clifton G. Fonstad, Jr., Professor Henry I.Smith, Vincent V. Wong

I VW940228.03

Figure 25. Schematic of novel DFB laser structure.

To realize such a device structure, we have devel-oped a fabrication process that utilizes x-ray lithog-raphy for the grating fabrication because it offersgood process latitude, high throughput, long-rangespatial-phase fidelity in the gratings, and largedepth-of-focus. After the epitaxial growth of a com-plete InGaAIAs graded-index, separate-con-finement, multi-quantum-well-layer diode structure,

Distributed-feedback lasers are essential compo-nents in optical communications systems becausethey operate in a single-longitudinal-mode and areeasily integrable with electronic drive circuitry. Inthe fabrication of a typical DFB laser, an epitaxialregrowth step is carried out on top of the grating,which can be yield-limiting. In particular, becauseof etch back the coupling constant after epi-growthcan be difficult to predict. We are developing anovel DFB laser structure in which gratings areetched on either side of a ridge waveguide, asshown in figure 25. This structure eliminates theneed for regrowth and maximizes the utility of ridge-waveguide devices, which are relatively simple tofabricate. The structure also decouples materialsgrowth, waveguide fabrication and grating fabri-cation, which should increase device yield.

by molecular-beam epitaxy on an InP substrate, a3-4 pm-wide ridge waveguide is formed by CH4/H 2reactive-ion-etching (RIE). A first-order grating witha period of 230 nm for the target lasing wavelengthof 1.55 pm is then patterned in PMMA using x-raynanolithography, as shown in figure 26. The x-raymask is patterned using holographic lithography,which guarantees excellent uniformity and long-

Ridge-Grating DFB Laser

Chapter 4. Nanostructures Research

range spatial-phase coherencelowed by gold electroplating.

in the grating, fol-

Figure 26. Pattern for DFB laser grating exposed using x-ray lithography (A = 230 nm). Ridge height is 1.0 pm.

Reactive-ion etching (RIE) in CHF 3 is used totransfer the grating pattern from PMMA into anoxide hard mask, and subsequent RIE in CH4/H 2 isused to transfer the grating into both sides of theridge waveguide. Figure 27 shows an etched InPgrating of 250 nm depth next to a ridge waveguideof 1 pm height. As shown, the etched gratings areimmediately adjacent to the ridge sidewall, which

maximizes the coupling. Figure 3b shows the sameInP grating in cross-section. Optical feedbackoccurs via interaction of the lateral fields with thegrating. Holographic lithography could not be useddirectly for the grating exposure because of trouble-some coherent reflections from the ridge topog-raphy.

84 RLE Progress Report Number 136

Chapter 4. Nanostructures Research

Figure 27. DFB laser grating etched into either side of ridge waveguide in InP using CHJH2 RIE. (A = 230 nm. Etchdepth = 250 nm).

For advanced optical communication systems (e.g.,those implementing wavelength-division multi-plexing) quarter-wave-shifted DFB lasers, hundredsof microns in length, are necessary. This willrequire x-ray masks made by e-beam lithographythat are free of distortion and stitching errors. Asdescribed in section 4.3 we are pursuing a newtechnique called spatial-phase-locked e-beamlithography that we believe will solve the problemsof distortion and stitching errors.

4.17 Fabrication of Sub-micron MSMPhotodiodes by X-ray Lithography

SponsorAdvanced Research Projects Agency/

Naval Air Systems CommandContract N00019-92-K-0021

Project Staff

Professor Jesis A. del Alamo, Akbar A. Moolji, Pro-fessor Henry I. Smith

Over the last few years, planar InGaAs-lnP-basedmetal-semiconductor-metal (MSM) photodetectorshave attracted considerable interest. Among otheradvantages, their ease of fabrication, high speedsand their compatibility with field-effect transistorsare key features that make these devices good can-didates for long wavelength, integrated optical com-munication systems.

Figure 28. Schematic cross section of a standard MSMphotodetector.

Figure 28 is a schematic cross section of thegeneric MSM photodetector. Work done in the paston these devices has shown this particular combi-nation of layers to be the one that optimizes theoperation of the detectors for long wavelengths.The electrode fingers (marked alternately + and -)act as collectors for carriers that are generatedwhen infra-red radiation impinges on the activeareas between them. The barrier enhancementlayer (50 nm InAIAs), directly below these fingers,serves to enhance the Schottky barrier height of thecontact. Since InGaAs itself fails to form a goodSchottky contact, the insertion of this thin, highbandgap layer is a necessity that helps suppressthe deleterious dark current in these devices. The

Chapter 4. Nanostructures Research

300 nm InAIAs buffer layer that lies between theactive InGaAs and the semi-insulating InP layers, isthe most recent addition to the MSM structure. Itspresence has been shown to reduce the parasiticcapacitance of the structure over the 100 MHz - 1GHz range, hence improving the RC-limited band-width of the device substantially.

The main bottleneck for the detector bandwidth,however, arises from the transit time of the carriersin the region between the electrode fingers. It isnatural to expect that this limitation can be over-come by reducing finger spacing. Detectors withfinger spacings down to 0.5 pm have already beenreported in the literature. Our efforts are nowgeared towards pushing this limit even further. Thecurrent work is an extension of an earlier effort,made about two years ago at MIT, which yieldedgood working devices with a bandwidth of about 6GHz. The smallest finger spacing that wasachieved then was about 1 pm. Using otherwisethe same fabrication process, we will now patternthe fingers by x-ray nanolithography. This will allowus to scale the finger spacings down to almost 50nm. Furthermore, the broad process latitude thatx-ray nanolithography provides should result in ahigher yield of good working devices. More impor-tantly, from a long-range perspective, the largedepth-of-focus associated with this technology willpermit us to simultaneously fabricate sub-micronsize gates for FETs that may then be integratedwith these detectors.

As long as the device parasitics are not allowed todominate, and the detectors can operate in thetransit-time limited mode, our efforts should result instructures with greatly improved frequencyresponses.

4.18 Submicrometer-PeriodTransmission Gratings for X-ray andAtom-Beam Spectroscopy andInterferometry

Sponsor

Joint Services Electronics ProgramContract DAAL03-92-C-0001

Project Staff

James M. Carter, Julie C.Dr. Mark L. Schattenburg,Henry I. Smith

Lew, Jeanne M. Porter,Satyen Shah, Professor

Transmission gratings with periods of 100-1000 nmare finding increasing utility in applications such asx-ray, vacuum-ultraviolet, and atom-beam spectros-copy and interferometry. Over 20 laboratoriesaround the world depend on MIT-supplied gratingsin their work. For x-ray and VUV spectroscopy,gratings are made of gold and have periods of100-1000 nm and thicknesses ranging from100-1000 nm. They are most commonly used forspectroscopy of the x-ray emission from high-temperature plasmas. Transmission gratings aresupported on thin (1 mm) polyimide membranes ormade self supporting ("free standing") by the addi-tion of crossing struts (mesh). (For short x-raywavelengths membrane support is desired, while forthe long wavelengths a mesh support is preferred inorder to increase efficiency.) Fabrication is per-formed by holographic lithography, reactive-ionetching and electroplating. Progress in this areatends to focus on improving the yield and flexibilityof the fabrication procedures.

Another application is the diffraction of neutral atombeams by mesh supported gratings. Lithographic(holographic and UV proximity) and etching proce-dures have been developed for fabricating free-standing gratings in thin silicon nitride SiNxsupported in a Si frame. Figure 29 illustrates theconfiguration and the method employed to verifyfreedom from distortion.

We have recently established a collaboration withthe Max Planck Institute in Goettingen, Germany,where they will utilize our gratings of 200 and 100nm period (see section 4.8) in diffraction and inter-ferometer experiments using He atom beams. Inaddition, free-standing zone plates for use in atomfocusing experiments will also be fabricated in SNx.

4.19 High-Dispersion, High EfficiencyTransmission Gratings forAstrophysical X-ray Spectroscopy

Sponsor

National Aeronautics and Space AdministrationContract NAS8-36748

Project Staff

Richard J. Aucoin, Professor Claude R. Canizares,Robert C. Fleming, Jeanne Porter, Dr. Mark L.Schattenburg, Professor Henry I. Smith

86 RLE Progress Report Number 136

Chapter 4. Nanostructures Research

DISTORTION ANALYSIS

~~1mSupportStrut

p= 200nm Free-standingSiNx Stencil Mask.

Holographicallygenerated grating,p=200nm

IFigure 29. Schematic of the free-standing SiN, gratings of 200 nm period. In order to verify that these gratings are freeof distortion, chromium atoms were evaporated through the free-standing grating onto a holographically exposed gratingof the same period. The resulting moir6 fringes reveal the absence of any systematic distortion.

Chapter 4. Nanostructures Research

Through a collaboration between the Center forSpace Research and the NanoStructures Labora-tory (NSL), transmission gratings are provided forthe Advanced X-ray Astrophysics Facility (AXAF)x-ray telescope, currently scheduled for launch in1998. Many hundreds of low-distortion, large-area,gold transmission gratings of 200 nm period and400 nm period are required. These will providehigh resolution x-ray spectroscopy of astrophysicalsources in the 100 eV to 10 keV band.

Because of the requirements of low distortion, highyield, and manufacturability, a fabrication procedureinvolving holographic lithography has beenselected. In order to ensure spatial-period fidelity,master reference gratings are used to periodicallyrecalibrate the holography system. The grating pat-terns are transferred into the substrate using a tri-level resist scheme and reactive-ion etching,followed by gold electroplating. An etching stepthen yields membrane-supported gratings suitablefor space use. Flight prototype gratings have beenfabricated and continue to undergo space-worthiness tests. Progress in this area focuses onincreasing the yield and flexibility of the fabricationprocedures and perfection of grating evaluationtests.

4.20 GaAs Epitaxy onSawtooth-Patterned Silicon

Sponsor

Joint Services Electronics ProgramContract DAAL03-92-C-0001

Project Staff

Sean M. Donovan, Professor Khalid Ismail, 4 Pro-fessor Leslie Kolodziejski, Professor Henry I. Smith,Professor Carl V. Thompson

The growth of GaAs on Si offers the possibility ofcombining high-speed and optoelectronic GaAsdevices with Si integrated-circuit technology. Ordi-narily, the 4.1 percent mismatch between the twomaterials leads to high dislocation densities.However, it has been shown here that when GaAsis grown on sawtooth-patterned Si substrates, thedislocation density is less than 105/cm2 , orders ofmagnitude lower than in GaAs films grown onplanar Si substrates. We investigate this effectboth for its potential of greatly improving the qualityof GaAs on Si and as a model for understanding

the mechanism of dislocation reduction. Orientedgratings of 200 nm period are fabricated in Si3N4 on(100) Si substrates using holographic lithography.Anistrotropic etching in KOH is then used toproduce sawtooth-profile gratings in the Si. Thenthese serve as substrates for GaAs growth by gassource MBE.

4.21 Publications

Journal Articles

Burkhardt, M., H.I. Smith, D.A. Antoniadis, T.P.Orlando, M.R. Melloch, K.W. Rhee, and M.C.Peckerar. "Fabrication Using X-ray and Meas-urement of Coulomb Blockade in a Variable-Sized Quantum Dot." Submitted to J. Vac. Sci.Technol. B.

Ferrera, J., V.V. Wong, S. Rishton, V. Boegli, E.H.Anderson, D.P. Kern, and H.I. Smith. "Spatial-Phase-Locked Electron-Beam Lithography:Initial Test Results." J. Vac. Sci. Technol. B 11:2342-2345 (1993).

Gupta, N., S.D. Hector, K.W. Rhee, and H.I. Smith."Fabrication of 100 nm T-Gates for MonolithicMicrowave Integrated Circuits Using X-rayLithography." J. Vac. Sci. Technol. B 11:2625-2628 (1993).

Hector, S.D., H.I. Smith, and M.L. Schattenburg."Simultaneous Optimization of Spectrum, SpatialCoherence, Gap, Feature Bias, and AbsorberThickness in Synchrotron-Based X-ray Lithog-raphy." J. Vac. Sci. Technol. B 11: 2981-2985(1993).

Hector, S.D., V.V. Wong, H.I. Smith, M.A. McCord,A. Wagner, and K.W. Rhee. "Optimizing Expo-sure Latitude as a Function of Absorber Thick-ness and Gap in X-ray Lithography." Submittedto J. Vac. Sci. Technol. B.

Hu, H., I.Y. Yang, L.T. Su, V.V. Wong, M.Burkhardt, E. Moon, J. Carter, D.A. Antoniadis,H.I. Smith, K.W. Rhee, and W. Chu. "High Per-formance Self-Aligned Sub-100 nm MOSFETsusing X-ray Lithography." Submitted to J. Vac.Sci. Technol. B.

Li, H., M. Mondol, G. Owen, and H.I. Smith."Uniform, Zero-Stress W via He-Backside Tem-

88 RLE Progress Report Number 136

4 Cairo University.

Chapter 4. Nanostructures Research

perature Stabilization." Submitted to J. Vac. Sci.Technol. B.

Moel, A., E.E. Moon, R. Frankel, and H.I. Smith."Novel On-axis Interferometric AlignmentMethod with Sub-10 nm Precision." J. Vac. Sci.Technol. B 11:2191-2194 (1993).

Schattenburg, M.L., N.A. Polce, and H.I. Smith."Fabrication of Flip-Bonded Mesa Masks forX-ray Lithography." J. Vac. Sci. Technol. B 11:2906-2909 (1993).

Smith, H.I., and M.L. Schattenburg. "X-ray Lithog-raphy, from 500 to 30 nm: X-ray Nanolith-ography." IBM J. Res. Dev. 37: 319-329 (1993).

Wong, V.V., W.-Y. Choi, J. Carter, C.G. Fonstad,and H.I. Smith. "Ridge-Waveguide Sidewall-Grating Distributed Feedback Structures Fabri-cated by X-ray Lithography." J. Vac. Sci.Technol. B 11: 2621-2624 (1993).

Wong, V.V., J. Ferrera, J. Damask, J. Carter, E.Moon, H.A. Haus, H.I. Smith, and S. Rishton."Channel-Dropping Filters on SiO2/Si 3N4/Si Fab-ricated using X-ray Lithography." Submitted toJ. Vac. Sci. Technol. B.

Yanof, A.W., G.L. Zipfel, and E.E. Moon. "X-rayMask Membrane Motion in Narrow Gap Lithog-raphy: Hydrodynamic Model and Experiment."J. Vac. Sci. Technol. B 11: 2920-2925 (1993).

Zhao, Y., D.C. Tsui, M. Santos, M. Shayegan, R.A.Ghanbari, D.A. Antoniadis, H.I. Smith, and K.Kempa. "Mode Softening in the Far InfraredExcitation of Quantum Wire Arrays." Phys. Rev.B 48: 5249-5255 (1993).

Meeting Papers

Bozler, C.O., C.T. Harris, S. Rabe, D.D. Rathman,W.D. Goodhue, M.A. Hollis, and H.I. Smith."Arrays of Gated Field-Emitter Cones having0.32 pm Tip-to-Tip Spacings." Paper presentedat the Sixth International Vacuum Microelec-tronics Conference, Newport, Rhode Island, July12-15, 1993.

Bozler, C.O., C.T. Harris, S. Rabe, D.D. Rathman,W.D. Goodhue, M.A. Hollis, and H.I. Smith."Fabrication and Performance of Gated Field-Emitter Arrays Having 0.32 pm Tip-to-TipSpacings." Paper presented at theElectrochemical Society Meeting, New Orleans,Louisiana, October 10-15, 1993.

Choi, W.Y., V.V. Wong, J.C. Chen, H.I. Smith, andC.G. Fonstad. "Design and Fabrication usingX-Ray Lithography of Ridge-Waveguide Distrib-uted Feedback Structures on InP." Paper pre-sented at the International Conference on InPand Related Compounds, Santa Barbara, Cali-fornia, March 1994.

Damask, J.N., V.V. Wong, J. Ferrera, H.I. Smith,and H.A. Haus. "Optical Distributed-FeedbackChannel-Dropping Filters: Design and Fabri-cation." LEOS '93 Sixth Annual Meeting, SanJose, California, November 15-18, 1993 (InvitedPaper).

Damask, J.N., J. Ferrera, V.V. Wong, H.I. Smith,L.A. Kolodziejski, and H.A. Haus. "Limitationsand Solutions for the Use of IntegratedQWS-DBR Resonators in WDM Applications."Paper presented at the International Symposiumon Integrated Optics '94, Lindau, Germany, April11-15, 1994.

Ferrera, J., J.N. Damask, V.V. Wong, H.I. Smith,and H.A. Haus. "High-Coherence QWS Grat-ings for Optoelectronic Devices: Why Spatial-Phase-Locked E-Beam Lithography isNecessary." Paper to be presented at the FiberCommunications Conference '94, San Jose, Cal-ifornia, February 20-25, 1994.

Ferrera, J., J.N. Damask, V.V. Wong, H.I. Smith,and H.A. Haus. "High-Coherence QWS Grat-ings for Optoelectronic Devices: Why Spatial-Phase-Locked E-Beam Lithography . isNecessary." Paper submitted to the OpticalFiber Communications Conference '94, SanJose, California, September 11, 1993.

Hector S.D., and H.I. Smith. "Soft X-ray ProjectionLithography Using Two Arrays of Phase ZonePlates." In Proceedings of The Optical Societyof America Meeting on Soft X-ray ProjectionLithography 18, 202-206. Eds. A.M. Hawrylukand R.H. Stulen. Washington, D.C.: OpticalSociety of America, 1993.

Hector, S.D., H.I. Smith, N. Gupta, and M.L.Schattenburg. "Optimizing Synchrotron-BasedX-ray Lithography for 0.1 pm Lithography."Paper presented at the Microcircuit Engineering'93 Meeting, Maastricht, The Netherlands, Sep-tember 27-29, 1993. Microelectron. Eng. 23:203-206 (1994).

Hu, H., L.T. Su, I.Y. Yang, D.A. Antoniadis, and H.I.Smith. "Channel and Source/Drain Engineeringin High-Performance Sub-0.1 pm NMOSFETsUsing X-ray Lithography." Paper to be presented

Chapter 4. Nanostructures Research

at the Symposium on VLSI Technology,Honolulu, Hawaii, June 7-9, 1994.

Schattenburg, M.L., J. Carter, W. Chu, R.C.Fleming, R.A. Ghanbari, M. Mondol, N. Polce,and H.I. Smith. "Mask Technology for X-rayNanolithography." Proceedings of the MaterialResearch Society Symposium 306: 63-68(1993).

Smith, H.I., and M.L. Schattenburg. "X-ray Nan-olithography: Limits, and Application to Sub-100nm Manufacturing." Paper presented at theNATO Workshop on Nanolithography, Rome,Italy April 6-8, 1993.

Zhao, Y., D.C. Tsui, M.B. Santos, M. Shayegan,R.A. Ghanbari, D.A. Antoniadis, H.I. Smith, andK. Kempa. "Mode Softening of CoupledQuantum Wires." Paper submitted to the TenthInternational Conference on the Electronic Prop-erties of Two-Dimensional Systems, SalvaRegina University, Newport, Rhode Island, May31-June 4, 1993.

Theses

Chu, W. Inorganic X-ray Mask Technology forQuantum-Effect Devices. Ph.D. diss., Dept. ofElectr. Eng. and Comput. Sci., MIT, 1993.

Damask, J.N. A New Photonic Device: The Inte-grated Resonant Channel-Dropping Filter. M.S.thesis, Dept. of Electr. Eng. and Comput. Sci.,MIT, 1993.

Ghanbari, R.A. Physics and Fabrication of Quasi-One-Dimensional Conductors. Ph.D. diss.,Dept. of Electr. Eng. and Comput. Sci., MIT,1993.

Gupta, N. Fabrication of 100 nm T-Gates forMonolithic Microwave Integrated Circuits usingX-ray Lithography. S.M. thesis, Dept. of Electr.Eng. and Comput. Sci., MIT, 1993.

Lew, J.C. Fabrication of Free-Standing SiliconNitride Gratings of 200 nm Period for AtomInterferometry. S.B. thesis, Dept. of Electr. Eng.and Comput. Sci., MIT, 1993.

Lim, M.H. Measurement of In-Plane Distortionusing Holographic Interferometric Techniques.S.B. thesis, Dept. of Electr. Eng. and Comput.Sci., MIT, 1993.

Rittenhouse, G.E. Mesoscopic Transport of CooperPairs through Ballistic Superconductor-NormalMetal-Superconductor Junctions. Ph.D. diss.,Dept. of Electr. Eng. and Comput. Sci., MIT,1993.

Shah, S.N. A White Light Interferometer forImproved Achromatic Holographic Lithography.S.B. thesis, Dept. of Electr. Eng. and Comput.Sci., MIT, 1993.

MIT Patents

Smith, H.I., A.M. Modiano, and E. Moon, "OpticalAligning." Patent application submitted to U.S.Patent Office, 1993.

90 RLE Progress Report Number 136