MCS-s1 - Sila Research

26
tr Et E a = T :< g > E- tr' c=. E ctt et Ett a : A4icrocotttroller E : E4 =u tE =.8 E = E H elY E L ; 5 x lt E 92. E Ett E ltt e fi : I :- E E E E. clt et Ett EI G z i E' e. G r I j" D = v) tEl CD E-t E Elt 4 N N j- 4 -= a' f, =i E. f : f ts6. =. P f, =e P 5 f ts, E f, f - eD l 3. =:- { cD 3.8 -+= L E! 0 = rt e o = E! eE. ;; e2t- &5. = = .=! 5t- t 3 e D 5! e 9 3 c I ET c I 3 e 2 6l c I = I 2, E c_ = E c_ 3 6 Er I e I OI e t_ E! rT tt E! ID IL r! t_ E! E- ts! E- r! t_ tst r- E! E- r! rT ts- rT t_ EI E! 5. E! =. r! =! E- E! rD $ rlli e 5 J e Al| a) lr $D j # 3f e {) CA '80 !D J MCS-s1 SUMMARY Memory... il :l I 'r " 4 I I .1 t I : *:r snv16unto.rSurir:it,rsr-totoosrtnnsit{or n nt:td:ir'r *sr"rodr.:ll:[n:ild1tJr:fl Downloao ld'orn www.silaresearch.com $n a e a d a o O e urilrlr f,a1€[ril€f, olno 11 08/ 41 nUUfll$till Utr.:n:sltUt 9q llj'nnnA{lOU n?.]lYl119 1 01 1 0 TEL. o-zttz-zgso FAX. o-zsai-1447 www.silaresearch.com

Transcript of MCS-s1 - Sila Research

trEtE

a=T:<

g

>E-tr'c=.E

cttetEtta

:

A4icrocotttroller

E :

E 4=u tE= . 8

E =E He l YE L ;

5

xltE

92.E

EttElttefi

:I

:-

EEE

E.cltetEttEIGzi

E'e.Gr

Ij"

D

=

v)tElCDE-tEElt4

NN

j-

4

-=

a'f,

=i E.

f : f

t s 6 . =.Pf,

= e

P5 f

ts,Ef,

f

-

eDl

3.=:-{

cD

3 . 8- + =L

E !0

=

r teo

=

E! eE.;; e2t-

& 5 .= =

. = !

5 t -t

3eD

5 !e9

3cI

ETcI

3e26 lcI

=I2,

Ec_

=Ec_

36

ErI

eI

O Ie

t _E !

rT t t E ! I D I L r !

t _E !

E -ts!

E -r !

t _tst

r -E !

E -r !

rT

ts-rT

t _E I

E !5.

E !=.

r != !

E -E !

rD

$rllie5JeAl|a)lr$Dj

#

3fe{)CA' 80!DJ

MCS-s1SUMMARY

Memory...

il: lI

'r" 4

II

. 1t

I:

*:r snv16unto.rSurir:it,rsr-totoosrtnnsit{or n nt:td:ir'r*sr"rodr.:ll:[n:ild1tJr:fl Downloao ld'orn www.silaresearch.com

$na e a d a o O e

urilrlr f,a1€[ril€f, olno1 1 08 / 41 nUUfll$till Utr.:n:sltUt

9 q

l l j 'nnnA{lOU n?.] lYl119 1 01 1 0

TEL. o -z t tz -zgso FAX. o -zsa i -1447www.silaresearch.com

CABLE DIRECTIONCABLE DIRECTION

248 PORT(JAZZ-31 8255)

4x8K PORT

4AD/1DA PORT

16 C015 Cl14 C213 C312c4.tl c5r0 c69 C 7

1 6 ' l1 5 11 4 11 3 1. ^ > G N D

1 1 |t o I9 J

16 (BLt

14 D?12 0510 D38 D 1

4 R S2 VCC

RXTXGNO

2 0 r, " 1' - t1 8 11 7 116 iGND1 5 114 11 3 11 2 r11 BUSY

R0 IR1 2R 2 3R 3 4

67

16 DGO15 DG114 DG213 DG312 DG411 DG510 DG69 DG7

8x8D PORT

LCD PORT

AB

D

F

P

26 PAl25 PA3A PAsB PNI22 PC72t PC620 PCs19 PC418 PCo17 PC116 PC215 PC314 GND

PAO 1PA2 2PA4 3PA6 4PBO 5PB1 6PB2 7PB3 8PB4 9PB5 10PB6 11PB7 12vcc 13

(BL+) 15D6 13IX 11D . 2 9m 7

R W 5r o 3

G'{D 1

AINO 1AIN1 2AIN2 3AIN3 4

AOUT 5

78

128 PORT(JAZZ-31)

38 PORT(JAZZ-31)

1B PORT(JAZZ-3lTOOL t/O)

R5232PORTPBINTER

s'" ' Flm 2 p qD 1 3 p qt 2 4 n nB 5 E ED { 6 F dD 5 7 p qD 6 8 F qv / s p q

^ c x i o p q

r fi'-6-] eno2 p O l P 1 7

P10 1P'12 2P14 3P t 6 4

INTO 5T O 6

vcc 7GND 8

16 Pl115 Pr314 P151 3 P 1 712 tNTl11 Tl10 vcc9 GND

20 Dl19 D318 D517 D7t6 A315 Al14 /wR13 iRES12 tCS211 GND

IOE BUSRS485

r h o_l gr-rz ! O l r ( + )

D0 10 2 2D 4 3D 6 44 2 5A 0 6

/ R O 7

RES 8/csl 9vcc r0

cAN, RS485NETWORKVDC (2.54 mm)

r f l o l c r u o2 p o l v c c

VDC (3.96 mm)r fi--'lvcc2 p o l c N D

13.sVDC(2.1mm)

t -el +

9VDC(2.35 mm)

l +ct -

c A N R s 4 s s t t t t ' l t t tr t r _ A 1 + ; - 1 t s - t - tL-B(-) |

|

I GND +r2v

L NODEACTIVE

LPRT(PRTNTER CABLE)

L232PC(RS232 CABLE)

SPFEMALE DBg(2.54 mm) FEMALE

n- 1 l+!x--------------3 rxD-l + ,Fo_----|,"xo

f tr s L-sre----------l 5 6xe

I J,*=t t l| - 1 " *I r-l 6 DsR

t |."-"| : 4

D r R

DATA =STOP BIT =PARITY =

36P CENTRONICSMALE

20PFEMALE

1

2

4

6

8

t 0

1t

79-27

/STB 1

D 0 2

Dl 3

u 2 4

B 5

l r 6

0 5 7

l E 6

v r 9

/lcK t0

BUSY T1

1ZAGr{D

STOPBIT

DO Dl D2 D3 D4 D5 D6 D7_ - - ]mt t t t t t t t t t l

STARTBIT

-3 TO-15V

+3 TO+i5V

I1NONE

(T2) P1.0(T2 EX) P1.1

P1.2Pl.3P1.4P1.5Pl.6Pl.7RST

(RXD) P3.0ITXD) P3.1(NTo) P3.20NTl) P3.3

(T0) P3.4(Tl) P3.s

(wR) P3.6(RD) P3.7

XTAL2XTALlG N D

cr2) P1.0Cr2 EX) P1.1

P1.2P1.3P1.4P 1 . 5P1.6P1.7RST

(RXD) P3.0(TXD) P3.1(rNTo) P3.2

0NT1) P3.3

cro) P3.4o1) P3.5

(W-R) P3.6(RD) P3.7

XTAL2XTALlG N D

80c3180c32

89C51 (4K)8ec52 (8K)

vccP0.0 (ADo)P0.1 (AD1)P0.2 (AD2lP0.3 (AD3)P0.4 (AD4)Po.s (AD5)P0.6 (AD6)

Eq.7 (aD7)EAALEPSENP2.7 (A15)

P2.6 (A14)P2.s (Al3)P2.4 ( 121P2.3 (A11)P2.2 (A10)P2.1 (A9)P2.0 (48)

89C51 RD2 (64K)

fiz) pt.o drTi-b vccgzexl nr.r {z ssp ro.o laooy

(Eci) P1.2 q3 38F po.l (ADt)(cExo) P13 q4 37F P0.2 (AD2)(cExl) P1.4 q5 36F P0.3 (AD3)(cEx2) P1.5 q6 3sF po.4 (aD4)(cEx3) P1.6 q7 34F po.s (aD5)(cEx4) Pr.7 q8 33p P0.6 (AD6)

RSr qe 32F P0.7 (AD?)(RXD) P3.0 q10 31 F E,,/VPP[IxD) P3.1 d11 3ob ALE/pRoctr-nrot es.z cj rz 2sF psEN(rNrl) P3.3 q13 28F P2.7 (A1s)

(ro) Ps.4 q14 2zF p2.6 (A141lrl) P3.s qis 26F pz.s (a13){wB) P3.6 q t6 z5F F2.4 ( 121(RD) t'3.7 q17 24p p2.s (a11)

xraL2 q1B 2sF p2.2 (A1o)xrAll q19 22F P2-1 (A9lG N D q 2 o 2 1 F p 2 . o ( a 8 )

89C2051 (2K)89C4051 (4K)

8es8252 (8K)

dl \J--;+q 2 3 s Fq 3 3 8 Fq 4 3 7 Fq 5 3 6 Fq 6 3 s Fq 7 3 4 8{.a 3s Fq s 3 2 E{ t o 3 i Fq l 1 3 0 Fq 1 2 2 e Fq 1 3 2 8 Fq 14 z7Fq 1 5 2 6 Fq 1 6 2 5 Fq17 24 Ff I 18 23 t r4 tn 2zF

t1______1P

vccP0.0 (ADo)P0.1 (ADl)P0.2 (AD2)P0.3 (AD3)P0.4 (AD4)P0.5 (ADs)P0.6 (AD6)

Pq.7 (AD7)EA /eLA!qI'RoGPSENP2.7 (A15)

P2.6 (A14)P2.5 (A13)P2.4 (412)P2.3 (A11)P2.2 ( 10lP2.1 (A9)P2.0 (A8)

RSTA/PP(RXD) P3.0oxD) P3.1

XTAL2XTALl

flnro ps.z

0NTl) P3.3(T0) P3.4(Tl) P3.5

G N D

vccP1.7Pl.6Pt.5P1.4P1.3P1.2Pl.1 (AtNl)P1.0 (ArNo)P3.7

89C55WD (20K)

grzl et.o fl--1r--70-! vcc(T2 EX) P1.1 q 2 39 F m.0 (ADo)

P1.2 q3 38F m. l (ADl )P1.3 q 4 37F m2 (AD2)P1.4 q5 36F m3 (AD3)P1.5 q6 35F Po.4 (AD4)P1.6 q7 34F P0.5 (ADs)P1.7 q8 $F m.6(A06)FSr qe 32F m.7(Ao4

(RXD) P3.0 q 10 31 F EA/yPPcrxp) P3.1 q 11 30 F a!E4'Roc(rNTo) P3.2 q12 29F PSEN(rNn) P33 q 13 28E P2.7 (A15)

6ro1 rr.r { t+ zzp ez.a 6r+y(r1) P3.s q 15 26F P2.5 (A13)

(wR) P3.6 q 16 2sF P2.4 (a121(RD) P3.7 q 17 24F P2.3 (A11)

xrAL2 q 18 23 F P2.2 (A10)xrALl q19 22F P2.1 (A9)G N D q 2 0 2 1 F P 2 . 0 ( A 8 )

(T2) P1.0(T2 EX) P1.1

P1.2Pt.3

(ss) P1.e(MOSD Pl.s(Mrso) P1.6(scK) P1.7

RST(RXD) P3.0g9) P3.1(rNTo) P3.2(rNTl) P3.3

(m) P3.4CIl) P3.5

{wR) P3.6(RD) P3.7

XTAL2XIALlG N D

vccP0.0 (ADo)P0.1 (ADl)P0.2 (AD2)P0.3 (AD3)P0.4 (AD4)P0.5 (ADs)P0.6 (AD6)!q.7 (AD?)EAn eLALErpggoPSENP2.7 (A15)P2.6 (A14)P2.5 (A13)P2.4 (4121P2.3 (A11)P2.2 {A1OlP2.1 (A9)P2.0 (A8)

89C51RC (32K)

DS89C420 (16K)

DS2223 (RAM) DS2430A (EEPROM)

(r2)o2 EX)

vccP0,0 (AD0)m.l (ADl)m.2 (aD2)P0.3 (AD3)P0.4 (AD4)P0.5 (ADs)P0.6 (aD6)P0.7 (AD7)EA/v!!_4!E4RocPSENP2.7 (Ar5)P2.6 (Ar4)P2.5 (Ar3)?24 (4121P2.3 (Arl)P2.2 (A10)P2.r (A9)P2.0 (A8)

Pt.0Pl.1P'1.2Pt.3Pt .4

A OA 1A 2

Pl.5Pr.6P1.7RST

(RXD) P3,0frxp) Rl.r(rNTo) P3.2(rNTr) P3.3

(m) P3.4_(r1) P3.s0{D P3.6(RD) P3.7

XTAL2XTALl

vccADOADIAD2AD3AD4AD5AD6

AP7EAALEPSENAr5 (P2.7)Ar4 (P2.6)A13 (P2.s)412 (P2.4)A11 (P2.3)A10 (P2.2)A9 (P2.r)A8 (P2.0)

N CA t 6A t 44 1 2

A7A6A5A4A3A2A1AOD OD ID 2

G N D

Ptz.6e2.5P2AP2.3P2.2P2.1P2,0

Pt.0P1.1Pl.2P1.3Pl.4Pt.5Pt.6P1.7RSTP3.0P3.1P3.2P3.3

G N O

24LCXX

v s s

6264 RAM(8K)

62256 RAM(32K)

681000 RAM(I28K)

vccW PS C L 241C04=5|2BYTESS D A 24LCI6=2KBYTES

v c cA t 5c E 2W EA 1 3A8A9A l lO EA t 0c E lo 7D 6D 5D 4D 3

DS8{rC320

rz er.o {T--lJ--4o-!f2EW P1.1 q2 3eFRxDl/ P1.2 q3 38FrxDl/ P13 q4 37F|NTZ P1.4 q5 36trruEi pr.s do osbrNr4/ P1.6 q7 34FrNrs/ Pr.7 q8 33F

RSr qs 32FRXDo/ P3.0 El 10 31 trrxDo/ P3.r dli 3obtNmi ps.z drz 2ebNrv- es.s (rs 2sb

T0/ P3.4 q14 27FTr/ P3.5 q15 26tr

frfr7 es.e {ro 25FRD/ P3.7 q17 24F

XTAL2 q18 23FxtAll tr19 22rl

cND d2o 21h

Hc dl---t l vccan l z 27b wE-r z { s 26F cE2A6 q4 25F A8A5 q5 24F A9Aa do 23E A l lm q 7 2 2 F o EA 2 q 8 2 1 E A 1 0A l q s 2 o F c E lA 0 q 1 0 1 9 F D 7D 0 q l 1 1 8 F D 6D l q 1 2 1 7 F D 5D 2 q 1 3 t 6 F D 4

G N D q 1 4 1 5 F D 3

arq dr---- -h

vcc^ ' t 2 4 2 2 7 F w E

a 7 q 3 2 6 F a 1 3A 6 q 4 2 5 P A 8A s q 5 2 4 F A 9A4 q6 23E A11A s q 7 2 2 F o EA 2 t r 8 2 1 t r A r 0A l q e 2 0 F c Ea 0 q 1 0 l s F D 7D 0 q l l 1 8 F D 6D l q 1 2 1 7 F D sD 2 q 1 3 t 6 F D 4

G N D q 1 4 1 5 P D 3

T2tT2WRXDI/fxDltINTZINT3/INT4/INT5/

RXDO/TXDO,/INTO/tNTt/

T0/ P3.4Jtl P3.5wF/ P3.6fij psJ

XTAL2XTALI

Vas

A . v , ^ ta v H

q2 3sFq3 38tr{+ 37Fqs 36Fq6 35Fq7 34Fq 8 $ Fqe 32Fq10 31 Fq11 30pq 1 2 2 s Ff i r c ta f it - - - F

q14 27Fq l s 2 6 Fq 1 6 2 s FE17 24rl4 ' u m Fqle 22Pq2o 2i F

vccm.0m.1m2m.3P0.4P0.5P0.6m,7EAN'PP

A!E/PRoGPSENP2.7

M48T02 (2K)

M48T08 (8K)

d;--\'-;+q2 27Fq3 26Fq4 25Fq5 24Fq 6 n Fq7 22Pq8 21Fqs 20Fd t o r g E4 t , 1 8 Fq 1 2 1 7 Fq 1 3 1 6 Ftrl!_________l!F

27(C1256 EPROM(32K)

27C1001 EPROM(I28K)

vccA 8A9W EO EA10C ED Q 7D O 6D Q 5D Q 4D O 3

A 7A 6A5A4A3MA1AO

D O 0D O 1D O 2G N D

N C412A7A6A5A4A3MA1AO

D O 0D O ID O 2

G N D

y9_cW EN CA 8A 9A l t

4 1 0C ED O 7D O 6D Q 5D O 4D O 3

vseW E4 1 3A8A9A t lO EA 1 0C ED O 7D O 6D O 5D O 4D O 3

A 7A6A5A4A3A2A1AO

D Q OD O lD O 2v s s

I N T412A7A6A5

A3A2A1AO

D Q OD O ID O 2v s s

V P P412A7A6A5A4A3A2A'AOD OD 1o 2

G N D

V P P416A15412A7A6

A4A3A2A1AOD OD 1D 2

G N D

vccA 8A9

GA10

D O 7D O 6D O 5D O 4D O 3

llccW

A8A9

a l 1

A 1 0E 1D O 7D Q 6D O 5D Q 4D O 3

A 1 4A13A8A 9A 1 1O E4 1 0 _C E , P G MD 7

D 5D 4D 3

vccP G MN CA144 1 3A 8A9A11O EA 1 0C ED 7D 6D 5D 4D 3

DSl220Y (2K)

d;_1'-tbq 2 2 3 Fq3 22Fq 4 2 1 Fq 5 2 0 Fq 6 l s Fq 7 1 8 pq 8 1 7 Fq e 1 6 Fq 1 0 1 5 Fq l l 1 4 F

ry3________13-F

DSI225Y (8K)

{i--u"8}q 2 2 7 FEl3 26tr{ + 2 s Fq5 24Fq 6 m Fq7 22Fq8 21 Fq e 2 o Ftr10 19 t r4 t t l s Fq 1 2 1 7 Fq i 3 i 6 Ft1______i-F

DSl245Y (128K)i l , ^ ^ h

n z P

q2 31 Fq3 30 Fq4 2sFq 5 2 8 F{o 27Fq 7 2 6 Fq 8 2 5 Fqs 24Fq10 23 Fq l1 22Fq12 21 Fq13 20Fq r 4 l e Fq 1 5 1 8 Fql!________rr-F

DS1230Y (32K)

4 1 44 1 2

A7A6A5A4A3A2A1AO

D O 0D O 1D O 2

G N D

4 1 5N CW E4 1 3A 8A9A t IO EA 1 0C Eo o 7D O 6D O 5D Q 4D O 3

N CA16A14412

A6A5A4A3

AIAO

D O 0D O 1D O 2G N D

109

8255

MODE O TABLE

MAX 232 vcc

MAX 31()(,

Ds.275

75176

vccTXRXRTScT?sx tx2

t-I

vcc ---{

GND ITIoUT

1.R r r N IRlOUT*TlIN*T2IN*

c=1pF/50V

vccRXin-----------> RX -l

Nc T-+TX I PcTXOUT- IGND r

vcc

l\,tcsl OOOO-7FFFHl\,tCS2 SOOO-F7FFH

P,CSI FAOO-FgFFH

PCS2 FAOO-FBFFH

PCS3 FCOO.FDFFH

PCS4 FEOO.FFFFH

GET

PCF8574

A OA 1A 2P Op t

P 2P 3

G N D

vccS D A

I N TP 7P 6P 5P 4

DINDOUT

SCLK

cs-tRo

SHDNGND

vcc

UPA3PA2PAlPAOR Dc s

G N DA 1A O

P C 7P C 6P C 5P C 4P C 0P C 1P C 2P C 3P B OP B 1P 9 2

PA4PA5PA6PA7W RR E S E TD OD 1D 2D 3D 4D 5D 6o 7v c cP S 7P B 6

P B 4P B 3

f _ - V -!/ T2OUT-T

R2rN__-L

vccT

Bx(McU)<--TLRxouTLVdrv

TX(MCU)+- TXinGND

nxo {- RPx.x +r EE

+ D E

TXD <- D

415

414

4 1 3

A 1 2

al l

a l o

A9

RD

PSEN

GND

MAX 3('a2

SLPO4 (4r)E2)(JAZZ-?I, ANT-31 PJ, ANT-31 72)

ROtE-D E

DI

PORTA(PAO-pA7)

PORT C(PC4-PC7)

PORT B(PB0-PB7)

PORT C(PCo-PC3)

CONTROL CODE(HEx)

OUTPUT

OUTPUT

OUTPUT

OUTPUT

OUTPUT

OUTPUT

OUTPUT

OUTPUT

INPUT

INPUT

INPUT

INPUT

INPUT

INPUT

INPUT

INPUT

OUTPUT

OUTPUT

OUTPUT

OUTPUT

INPUT

INPUT

INPUT

INPUT

OUTPUT

OUTPUT

OUTPUT

OUTPUT

INPUT

INPUT

INPUT

INPUT

OUTPUT

OUTPUT

INPUT

INPUT

OUTPUT

OUTPUT

INPUT

INPUT

OUTPUT

OUTPUT

INPUT

INPUT

OUTPUT

OUTPUT

INPUT

INPUT

OUTPUT

INPUT

OUTPUT

INPUT

OUTPUT

INPUT

OUTPUT

INPUT

OUTPUT

INPUT

OUTPUT

INPUT

OUTPUT

INPUT

OUTPUT

INPUT

80H

8 1 H

82H

83H

88H

89H

8AH

8BH

90H

9 1 H

92ll

93H

98H

99H

9AH

9BH

t 1 t2

AINO

AINl

AIN2

AIN3

AO

A1

A2

GND

vccAout

Vref

AGND

EXT

oscscLSDA

Vref+

V.el-

cssDlA3A2SDOVD+DGNDSCLK

SMODEXOUTxtNvD-VD+DGNDsoDstDSCLKDIDYcsRST

PGFA591 DS1233D

o*ofru""RESET

DSlA33

o.uoftu""RESET

vcc DSl232LP

AGND

VA+

AIN+

AIN-

NBV

AO

A l

CPD

xtN

XOUT

MDRV+

MDFV.

VA+

AGNDI

AIN+

AIN-

AGND2

VREF+

VREF-

BX2

BX1

VBATTVOUT

vccGND

BATT ON

LOW

osc rNosc sEL

vccsr ---+ BFcoNTRoLRSTRsr ---) RESET

RESETwDocErH <- aDDREss RAMcEour --+o-Enan

wDt --+BncoNTRoL

PFO ---) BtT CONTROLPFI

DSl7('7_ l-\-/----]

. '-PBRSTq r I FRsT -) REs-1 vccq2 zpns r

I - G N D E 3 6 t r N cL,u/F1- rn { + s ! r.uar --J r-ow-e20K I

f zoxI: BATT3V+

cs5525/CS5526

cs552()

q l 24Pq 2 2 3 Fq3 22Pq4 21 Fq5 2oFq 6 r e Fr 1 7 1 A h

le trEf ,g roEf , ro :sE4" 'oFql:__il-F

t 3 14

XTAL=32.768 KHz.c=10pF x 2D=1 N4148X2

DSI3(,2

vccSCLKvo

DSl3()7

DSl62()

DS1852(,

i N cg-xl

DSl669

'MA)(7219

T-x2= GND

XTAL=32.768 KHz.c=1opF x 2

vcc RAM <-- vcco

f- VBATII r T O L

t-l]t+ | cuoo " T I

vcc

YEdJ2 ------) GND

gEO ------) cERAMCE <- FROMDECODER

vccTHighTLowTCom

BITCONTHOL <- DIN

DGO

DG4

G N D

DG6

GD2

DG3

DG7

G N DDG5

DG1

BITCONTROL <- LOAD

DOUT

SEG D

SEG DP

SEG E

SEG C

vcc ---------------=l

ISET -------{AAH

sEG G lOK

SEG B

SEG F

SEG A

CLK <- BITCONTROL

DG5O9

AOEN

S l aS2a

S3a

S4a

Da

A1GND

stbs2bs3bs4bDb

t 6

DS1aO4

DS121 ( ,

15

lsD142()OUTPUTg

KBDOUTPUTo

GNDGND

OUTPUTsKAc

OUTPUT4

ucN5ao4

NC6B59s

SUPPLY

OUTPUT ENABLE

DIRECTION

GND

GND

STEP-INPUT

HALF.PHASE

ONE-PHASE

vgqD

FEC

XCLK

RECLED

PLAYE

PLAYL

NCANA OUT/\NA INAGC

MIC REF

Mtc

vccA

sP-

vccDPIRXCLKE6fr'PD

CE

O'VFANA OUTANAINAGC

MIC REF

MrcvccasP-

AOA l

A2

A3

A4

A5NCN C

A6

A7

NCvssDVSSA

SP+

N C

vcc

S l n

ooQ 1

o2o3R

O EG N b

NC

G N D

Sout

o7

Q6

Q5

Q4

CLK

STR

G N D

tsD259()

AO/MOA1/M1A2/M2

A3/M3

A4IM4

As/M5

A6/M6

A7AAA9

AUX IN

VSSD

VSSA

SP+

TFDS45()('

4 7 6 5

1 2 3 4

IRED Detector

lRMa6()1

PIN

1. IRED Cathode2. RXD

3. VCC1/SD

4 . G N D

5. SC

6. NC

7. TXD

8. IRED Anode

MTaaaac

lN+ q l zoF vDDrN- q2 leF sucrGS t rg 18E ESr

vRer 44 1zF D3vss q5 16F D2

osc1 q6 15F D lOsC2 qz t4F DOroryE C8 13h i-Ra/cP

R4g qe r2F FD-cs q lo i lF RSo

GND

T7 1 8

74HcOO

|--\-/----.]r l l t 4 h

{z ' "Fq 3 t 2 Fq 4 1 1 Fq s l o Fq 6 e FUJ

Y=AB(NAND)

74HCO2

|-\-/----.1q l 1 4 Fq 2 1 3 Fq 3 't2F

q 4 l r Fq 5 l o Fq 6 e Fq.___lJ

Y=A+B(NoR)

74Hc373

oE ql 2oP vccoo q2 leF 07Do q3 18F D7Dr q4 17F D6o l q5 16F 0602 q6 1sF 05D2 q7 14tr D5D3 q8 13F D403 qe 12P 04

o"o t __1F .=

741{c541

6-- Ji--,ot u".eo {z rsp oEzA1 q3 r8F YoA2 q4 17F Y rA3 qs 16F Y2aa {e r sp vaas q7 14F Y4A6 q8 13F Y5A7 qe r2F Y6

GND q lo r l P Y7

74Hc374' tA

1 B'tY

2A292Y

GND

vcc4B4A4Y3B3A3Y

vcc6A6Y5A5Y4A4Y

1 Y1 AI B2Y2A28

GND

1 A1 B1 Y2A282Y

GND

1 A1 B1 Y2A292Y

GND

vcc4Y484A3Y3B3A

vcc4B

4A

4Y

3B

3A

3Y

vccQ7

D7

D6

Q6

Q5D5

D4

Q4

CP

1 A'tY

2A2Y3A3Y

GND

1 A1 Y2A2Y3A3Y

GND

AO

A1

A2

ErEzE37z

GND

r6E1AO2YO1 A l2Y11422Y2'ta3

2Y3GND

74HCO4

Y = A

74HC14

Y = A

74}|C13A

74F{c244

74HGOA

74Hc3.2

6h1137

N/CVF+VF.

N/C

ULN2OOs ULN28O3

Y=A+B(oR)

vcc-YO

V1Y2-Y3

A4-Y5

Y6

gc20E

1YO

2AO1 Y l

241

1Y2

242

tY3

243

o1

2

3

4

G N D

74L5145

74Hc245

DIF

AO

A1

A2

A3

A4

A5

A6

A7

G N D

vccO E

BO

B 182

B3

B4

B5

B 6

87

ANODECATHODE

ANODE

CATHODE

NC

Pc817

MOC3(}62

COLLECTOREMITTER

T9 20

-:@COLLECTOR COLLECTOR

1 lI

2BA.E thJ

ense'-({*,)_1. _1.

EMITTER EMFTER

8G547 g.g,5,ST(NPN) (pNp)

2 2

q. "o.""'o:l coLLEcro:J

\ ' J " , *€Lt ,@sor-32

| |eurren o eurren d

LM336-2.5 V

4Di----

f i v )-/

TO-92 Bottom ViewVout

3 3

E'D139 BD14O(NPN) (PNP)

7aos7412

cnourvo-h-lI Y I To-22o

t ll - o u l

TITTT'11 'tl lfrNPUr- lJ ll lJ -ourPur

IGROUND

LM317T

LM323

TO-3

L'M2575

P I N 1 . V i n

2 . Outpu t

3 . Ground

4. Feedback

5. ON/OFF

,A\ coLLEc-.- :ToF o

waI

E- \q\ \\ \\

\ \ \ r H l r\r'o ense

YTo-21s

t I

EMrrrER 0

coLLEcroR

I

"F€,t,ta* J

3

OUTPUT

INPUT

TlP3o55 (NPN) Ttp2gss (pNp)

LM3A6 -*rrffiGAIN

-INPUT

+INPUT

G N D

GAIN

BYPASS

Vout

# 1 N . C .

# 7 G N D

# 8 OUTPUT

# 1 4 + V D C

OSCILLATOR)(22-1184 'MHz

P I N # 1

) g ^ ^ o^ v v ^(9 (9

# 7

-r"-*fi# 1 4

2T

BOTTOM VIEW

# 8

22

RELAY DRIVER

TflrACTIVE LOW

i l I

BC,&7

'-----{

i

DC INPUT +5

24

SOLIDSTATE RELAY

DC DRIVER

Ilitl

lout = 1.25V-81-

. 0.8Q < R1 < 120A

ADJUST VOLTAGE

390

600v

LM 3177

26

MCS.51 INSTRUCTION OPCODES t / o MCS.51 INSTRUCTION OPCODES 2t6

ACALLACALLACALLACALLACALLACALLACALLACALLADDADDADDADDADDADDADDADDADDADDADDADDADDCADDCADDCADDCADDCADDCADDCADDCADDCADDCADDCADDCAJMPAJMPAJMPAJMPAJMPAJMPAJMPAJMPANLANLANLANLANL

caddcaddcaddcaddcaddcaddcaddcaddA,#dataA,@ROA,@R1A,daddA,ROA,R1A,R2A,R3A,R4A,R5A,R6A,R7A,#dataA,@R0A,@R1A,daddA,ROA,R1A,R2A,R3A,R4A,R5A,R6A,R7caddcaddcaddcaddcaddcaddcaddcaddA,#dataA,@ROA,@R1A,daddA,RO

1 1 a a31 aa51 aa71 aa91 aa81 aaD1 aaF1 aa

24 nn262725 dd28292A282C2D2E2F34 nn.to

. t l

35 dd38393A3B3C3D3E3F01 aa21 aa41 aa61 aa81 aa41 aaC1 aaE1 aa54 nn56

55 dd58

ANLANLANLANLANLANLANLANLANLANLANLCJNECJNECJNECJNECJNECJNECJNECJNECJNECJNECJNECJNECLRCLRCLRCPLCPLCPLDADEODECDECDECDECDECDECDECDECDECDECDECDIVDJNZDJNZ

z

z

z

2z

222z

11z

11I

111112

1

2

z

2222z

z

z

211

21

A,R1A,R2A,R3A,R4A,R5A,R6A,R7c,/bitc,bitdadd,#datadadd,A@ R0,#data,cadd@ R1 ,#data,caddA,#data,caddA,dadd,caddR0,#data,caddR1,#data,caddR2,#data,caddR3,#data,caddR4,#data,caddR5,#data,caddR6,#data,caddR7,#data,caddAbit

AbitcA@ R 0@ R 1AdaddROR1R2R3R4R5R6N T

ABdadd,caddR0,cadd

I

11111

5 F 1Bobb 282bb 25 3 d d n n 352dd 28 6 n n r r 3B T n n n 38 4 n n n 38 5 d d n 3B B n n r r 38 9 n n r r 3B A n n r r 3B B n n n 3B C n n r r 3B D n n r r 3B E n n n 3B F n n r r 3E4 1c2bb 2

82 bbB3D41 61 71 415 dd1 81 9'1A

1 BI t J

{ n

112

' tE 11 F 184 1D 5 d d r r 3D S r r 2

EO

5A5B5C5D5E

c3F4

27 28

MCS.51 INSTRUCTION OPCODES 3/6 MCS.51 INSTRUCTION OPCODES l to

DJNZDJNZDJNZDJNZDJNZDJNZDJNZtNctNctNctNctNctNcrNctNclNctNctNctNcrNcJBJBC

JMPJNBJNCJNZJZLCALLLJMPMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOV

R1 ,caddR2,caddR3,caddR4,caddR5,caddR6,caddRT,cadd@R0@R1AdaddDPTRROR 1R2R3R4R5rto

R7bit,caddbit,caddcadd@ A+DPTRbit,caddcaddcaddcaddcaddcadd@R0,#data@RO,A@R0,dadd@R1,#data@R1,A@R1,daddA,#dataA,@ROA,@R1A,daddA,ROA,R1A,R2A,R3A,R4

D 9 nDA rrDB rrDC rrD D nDE rrDF rrUO

070405 ddA30809OAOB

ODOEA E

2 0 b b n1 0 b b n40 rraa

30 bb rr50 rr70 rr60 rr12 aaaa02 aaaa76 nnF6A6 dd77 nnF7A7 dd74 nnE6E7E5 ddE8E9EAEBEC

A,R5A,R6A,R7bit,cc,birdadd,#datadadd,@ R0dadd,@ R1dadd,Adadd,dadddadd,R0dadd,Rldadd,R2dadd,R3dadd,R4dadd,R5dadd,R6dadd,RTDPTR,#dataR0,#dataRO,AR0,daddR1,#dataR 1 , ARl,daddR2,#dataR2,AR2,daddR3,#dataR3,AR3,daddR4,#dataR4,AR4,daddR5,#dataR5,AR5,daddR6,#dataR6,AR6,daddR7,#dataR7,ART,daddA,@A+DPTRA,@A+PC

E D 1E E 1E F 19 2 b b 2A 2 b b 27 5 d d n n 38 6 d d 2B T d d 2Fsdd 28 5 d d d d 38 8 d d 28 9 d d 28Add 2S B d d 2sodd 2BDdd 2SEdd 2sFdd 290 nnnn 37 8 n n 2F8 1ASdd 27 9 n n 2F9 1Agdd 2TAnn 2F A 1AAdd 2T B n n 2F B 1ABdd 2T C n n 2F C 1ACdd 2T D n n 2F D 1ADdd 2T E n n 2F E 1AEdd 2/ F n n zF F 1A F d d 293 183 1

z

22I

a

z

z'I

1

'I

2'1

1

11I

1111

21e

2z

2J

3I

1z

z'l

2211z

1111I

MOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVMOVCMOVC

29 30

MCS-51 INSTRUCTION OPCODES 5/6 MCS.51 INSTRUCTION OPCODES 6/6

MOVXMOVXMOVXMOVXMOVXMOVXMULNOPORLORLORLORLORLORLORLORLORLORLORLORLORLORLORLORLPOPPUSHRETRETIRLRLCRRRRCSETBSETBSJMPSUBBSUBBSUBBSUBBSUBBSUBBSUBBSUBBSUBBSUBB

@DPTR,A@RO,A@R1,AA,@DPTRA,@ROA,@R1AB

A,#dataA,@RoA,@R1A,daddA,ROA,R1A,R2A,R3A,R4A,R5A,R6A,R7c,/bitc,birdadd,#datadadd,Adadddadd

AAAAbit

caddA,#dataA,@RoA,@R1A,daddA,ROA,R1A,R2A,R3A,R4A,R5

FOF2F3EOE2E3A40044 nn464745 dd48494A48

4D4E4FA0 bb72bb43 dd nn42 ddD0 ddc0 dd2232233303l e

D2 bbD38 0 n94 nn969795 ddoq

999A9B9C9D

SUBBSUBBSWAPXCHXCHXCHXCHXCHXCHXCHXCHXCHXCHXCHXCHDXCHDXRLXRLXRLXRLXRLXRLXRLXRLXRLXRLXRLXRLXRLXRL

A,R6A,R7AA,@RoA,@R1A,daddA,ROA,R1A,R2A,R3A,R4A,R5A,R6A,R7A,@R0A,@R1A,#dataA,@ROA,@R1A,daddA,ROA,R1A,R2A,R3A,R4A,R5A,R6A,R7dadd,#datadadd,A

14I

11'I

111211z

z

1'I

z

1111iI

'I

1

z

9E9Fc4c6c7c5 ddutt

UY

CACB

r.nCE^tr

D6D764 nnob

6765 dd68OY

6A6BbU

6D6Ebt-

63 dd nn62 dd

22

2221,l

I

1112

I

2z'1

12111'l

1

1

dadd

bit

#data

dd

bb

16 bit addressin Program memory11 bit addressin Program memory(aaa 10001 aaaaaaaa)ralative offset in Program memory-128 to + 1 27 (Two's complement)8 bit address inInternal RAM (00-7FH) or SFRDirect addressed bit in Internal RAMor SFR16 bit constant dataB bit constant data

nnnnn n

3L 32

PROGRAM MEMORY

DATA MEMORY

INTERNAL DATA RAM

RAM, SFR BITADDRESSES

8X51 4K8X52 8K

FF

FO

EO

DO

B8

BO

A8

AO

98

90

88

80

B

PSW

IP

P3

I E

P2

scoN

P1

TCON

PO

RD

WR

30

2E

2D

2C

28

2A

29

28

27

26

25

24

23

22

20

t- t-

807F

302F20

1 8

1 0

08

00

t-

: INTERFACE) CONTROLDPL,SqPSW...

F6 t c F4 F3 F2 FO

E6 E5 EJ E2 E 1

D7 D6 D5 D4 D3 D2 D1 DO

BB BA B9 B8

97 bo B5 B4 B3 82 B1 BO

AF AC AB AA A9 A8

A7 A5 A4 A3 A2 A1 AO

9F 9E 9D 9C 9B 9A 99 98

97 96 95 94 93 92 91 90

8F 8E 8D 8B 8A 89 88

87 6b 65 84 83 a2 81 80

7E 7D 7C 7B 7A 79 78

77 76 75 74 73 72 71 70

6F 6E 6B 6A 69 68

67 66 65 64 63 bz o t 60

c r ctr 5D 5B 59 58

57 56 55 53 52 51 50

4F 4E 4D 4C 48 4A 49 48

47 46 44 43 42 4'l 40

o r J E 3D 3C 3B 39 38

37 36 35 34 33 32 31 30

2F 2D 2C 29 2A 29 28

27 26 25 24 23 20

I E'tD 1 C 1 B 1 A 1 9 1 8

1 7 1 6 '15 1 4 t 3 1 2 1 1 1 0

OE OD 0c OB OA 09 08

07 06 05 o4 03 02 01 00

(MSB) (LSB)

t -I PSEN

128UPPER

(8032 ONLY)

ACCESSIBLEBY INDIRECT

SFRBIT

ADDRESSABLE8O-FF

(x0,x8 ONLY)

ACCESSIBLEBY DIRECT

ACCESSIBLEBY DIRECT

AND INDIRECTHARDWARE INTSTATUS AND CC

BITADDRESSABLESPACE (00-7F)

AUU, t ' ,UHH,

4 BANKSRO-R7

BANK.3 REGISTER

BANK.2 REGISTER

BANK-1 REGISTER

BANK-O REGISTER

J J 34

SPECIAL FUNCTION REGISTERS (SFR) 1t4

' ACC Accumulator (E0). B BRegister(F0). PSW Program Status Word (D0)

PSW.7 CY Carry FlagPSW.6 AC Auxiliary Carry FlagPSW.S F0 User Flag 0PSW.4 HS1 Register Bank SelectorPSW3 RSo Register Bank Selector

RS1 RSo Register Bank (R0-R7)

(8031 ) 0xx00000(8032) 0x000000

EA All interrupt enable or disable- ReserveE-12 Timer 2 overflow or capture (8032)ES Serial portET1 Timer 1 overllowEX1 External lNTlETO Timer 0 overflowEXo External INTO

SPECTAL FUNCTTON REGTSTERS (SFR) 2/4

TMOD Timer/Counter Mode Control (89)00000000

87 86 85 B4 83 82 81 BOf - -T_ - -T- - -r - -r- - -r- - -r- - -T- - -l. GATE I CTT I M1 I MO IGATE I C/T I M1 I MOL _ | _ | _ | _ I _ | _ | _ | _ l

T|ME 1 ---------l------- T|ME O --------l

GATE When TRx (in TCON) is set and GATE=I ,Timer/counter will run only while lNTx pin ishigh (hardware control)When GATE=O, Timer/counter will run onlywhile TRx=1 (software control)Timer or Counter selector Timerinput frominternal clock Counter-input from Tx input pinMode selector bitMode selector bit

Mode Operating

0 0 0 13-bitTimer(MCS-48 compatible)

0 1 1 16-bitTimer/counter1 0 2 8-bitAuto-reload

Timer/counter1 1 3 (Timer 0) TLO is an 8-bit Timer/counter

controlled by the Timer 0 control bitsTHO is an 8-bit Timer and is controlled byTimer 1 control bits (Timer 1)Timer/counter 1 stopped

- TCON Timer/Counter Control (88) 00000000

TCON.7 TF1 Timer 1 overflow flagseVclear by hardware

TCON.6 TR1 Timer 1 run control bit (ON/OFF)seVclear by software

TCON.5 TFO Timer 0 overflow flagseVclear by hardware

TCON.4 TRO Timer 0 run control bit (ON/OFF)seVclear by software

TCON.3 lE1 External INTI edge flagseVclear by hardware

TCON.2 lT1 lNTl type control bitseVclear by software1=fall ing edge0=low level

TCON.1 lEO External INTO edge flagsevclear by hardware

TCON.0 lT0 INTO type control bitseVclear by solftware1=fall ing edgeO=low level

000000000000000000000000

0 00 11 0'l

1

01

Overllow FlagUser Definable FlagParity Flag of ACC SeUclear byhardware each instruction

cft

M1MO

M1 MOPSW.2 OVPSW.1PSW.O P

SP Stack Pointer (81)DPL Data Pointer (Low Byte) (82)DPH Data Pointer (High Byre) (83)

" P0 Port 0 (80) Address/Data. P1 Port 1 (90). P2 Port 2 (A0) Address. P3 Port 3 (80) Specific- lP Interrupt Priority Control (88)

000001 1 100000000000000001 1 1 1 1 1 1 11 1 1 1 1 1 1 11 1 1 1 1 1 1 11 1 1 1 1 1 1 1

(8031 ) xxxo0000(8032) xx000000

tP.7tP.6tP.5tP4tP3tP.2tP.1tP.0

tE-t

t E . o

tE.5tE.4I E . J

tE.z

tE .1lE.0

ReserveReserve

PT2 Timer 2 (8032)PS Serial portPT1 Tlmer 1PX1 External lNTlPTo Timer 0PXo External lNTo

- lE Interrupt Enable Control (Ag)

35 36

SPECIAL FUNCTION REGISTERS (SFR) st4 SPECTAL FUNCTION REGISTERS (SFR) 4t4

- T2CON Timer/Counter 2 Control(c8) (8032 ONLY)

T2CON.7 TF2

T2CON.6 EXF2T2CON.5 RCLKT2CON.4 TLCKT2CON,3 EXEN2T2CON,2 TR2

00000000

Timer 2 overflow flagseVclear by hardwarelimer 2 external flag setReceive clock flagTransmit clock flagTimer 2 external enable flagSoftware START/STOPcontrol

SBUF Serial Data Buffer (99)PCON Power Control (87) (HMOS)

(cHMOS)

XXXXXXXX

Oxxxxxxx0xxx0000

THOTLOTH1TL1rH2

TL2

RCAP2H

RCAP2L

-SCON

T2CON.1 C/T2 Tirner or counter selectT2CON.O CP/RL2 Capture/reload flao

T/C 0 High Byte (8C)T/C 0 Low Byte (84)T/C 1 High Byte (8D)T/C 1 Low Byte (8B)Timer/Counter 2 High Byte(CD) (8032 only)Timer/Counter 2 Low Byte(CC) (8032 only)T/C 2Capture Reg High Byte(CB) (8032 only)T/C 2Capture Reg Low Byte(CA) (8032 only)Serial Control (98)

SCON.7 SMO Serial port modeSCON.6 SM1 Serial Dort mode

87 86 85 84 83 82 B.1 BO- - -r - -T - -T- - -r- -r--_ T-__ -T- - rfY9'I _ I _ I _ l_9t I9tI" I rot- _,SMOD Double baud rate bit lf Timer 1 is used to

generate baud rate, SMOD=I the baud rateis doubled

GF1 General purpose flag 1GFO General purpose flag 0PD Power down bit (CHMOS only)IDL IDLE mode bit (CHMOS only)

- Bit addressable SFR

SFR MEMORY MAP

0000000000000000000000000000000000000000000000000000000000000000

00000000

0000000000000000

E7

O O O SHIFT0 1 1 8 b i t1 0 2 g b i t

SMO SM1 Mode Description BAUD-RATE

REGISTER Fosc/12UART VaiableUART Fosc/64 or

Fosc/32UART Vaiablet ! )

SCON.5 SM2

SCON.4 REN

SCON.3 TB8

SCON.2 RB8

scoN.l Tl

scoN.o Rl

9bir

Enables the multiorocessorcommunicationEnable/disable receptionseVclear by softwareThe 9th bit that will betransmitted in mode 2,3,SeVclear by softwareThe 9th bit that was receivedin mode 2, 3,Transmit flag Set by hardware,Clear by softwareReceive flag Set by hardwareClear by software

BitAddressable

B Bytes

B

ACC

PSW

T2CON RCAP2LFCAP2HTL2 TH2

I P

rJ

I E

P2

SBUF

P1

TCON fMot TLO TL1 THO TH1

PO SP DPL DPH )col

1

37 38

Built-in 8051152 Register Names ASCIITABLE

SEGMENT CODE

BIT STD MAX 7219

Bit addressesTF1 8FTR1 8ETFO 8DTRO 8CIE1 8BIT1 8AtEo 89tTo 88RD 97WR 86T1 85TO 94INT1 83INTO 82TXD 81RXD BO

Direct addressesACC EOB F ODPH 83DPL 82IE A8IP BBP0 80P1 90P2 AO

SMOSM1SM2RENTB8R88TIRI

PT2PSPT1PX1PTOPXO

AF

DO

EA9E9D9C9B9Aoo98

BDBCBBBAB9B8

ETz ADES ACET1 ABEX1 AAETO A9EXO A8CY D7AC D6FO D5RS1 D4RSO D3OV D2

P3 B0 T2CON C8PCON 87 THo 8CPSW DO TLO 8ARCAP2H CB TH1 8DRCAP2L CA TLl BBSBUF 99 THz CDscoN 98 TLz CCSP 81 TMOD 89TCON 88

AB

DEF\l

P

(f

0'I

z

4

o

7

b

54

2107

= l l .t l' _ ' . P

D

INTERRUPT VECTOR ADDRESS

002B0023001 B001 3000800030000

TF2&EXF2 (8032)RI&TIt l . l

tE1TFOtE0RESET

nr: uj?su rfi su si'a s rir#{ c.JNe

OJNE A,B,$+3JC LABELovl:Jm' LABEL rfio A<B

CJNE A,B,$+3JNC . LABELovtjfi LRBeL rfio A=tB

01

4

o

78

AB

DEF

000 001 101 011 100 101 110 111

PqI

I

u

vz

NUL DLESPACE OsoH Dc1 | 1STX DC2 " 2ETX DC3 # 3EOT DC4 $ 4ENQ NAK YO 5ACK SYN & 6I J E L E I I J I /

B S C A N ( IH T E M ) 9L F S U B * :V T E S C + ;FF FSCR GSSO RSS I U S I ?

@ P .A Q aB R bC S cD T dE U eF V fG W sH X ht Y iJ z jK l kL l lM l mN ^ nO o

00000001001 0001 101 0001 010 1 1 00 1 1 11 0001 0011 0101 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1

39 40

Control Flow

i f ( ) t)

e l s e i f ( ) {)

else {)

switch ( ){case_:_; break;case_:_; break;default :_;

l

whi le ( ) t)

d o {

)

while ( ) ;

f o r ( ; ; ) {

)

Operators

Arithmetic

Relational

Logical

Bitwise

Inc, Dec

Assignment

ConditionalExpressions

+

*

%

> =

( =

t -

&&t ll l

!& andl o r^ xor<< shift left>> shift right- not+ +

=

{= *=

l- o/o=

&- l - A=

((= ))=

z = ( d < b ) ? x : y ;

41 A 1

Memory Type

Data Type

Data Type

Byte Value

bdata20-2FH

bitcharunsigned charintunsigned intlong

unsigned longfloai

0 t o 1-1281o + 1270 to 255-32768 to + 327670 to 65535-2147483648

to + 21474836470 to 4294967295! 1.175494E-38to !3.402823E+38

1 bir1I

224

44

XBYTE [ ]xwoRD [ ]PBYTE [ ]PWORD [ ]

sbitsfrsfrl 6

Model

l b i t O t o 11 0 t o 2 5 52 0 to 65535

Memory Models

Variable

cBYrE [ ]code CWORD [ ]

-+-lt lt lt lt lt l

L___lProgramMemory

SMALL

COMPACT

LARGE

ACALL data (")AJMPLCALL pdataAJMPLCALL (.) xdataLJMP

* + default

44

Internal RAM

xdatapdata

43

c') d,C L't''6f

o.: -J\

t t-l'7c)

i=J

Function Declarations

n=0 to 3Register Bank

r=O |NTO (0003H)

n=1 T0 (000BH)

t1=2 lNTl (0013H)

n=3 T1 (0018H)

n=4 SERIAL (0023H)

rirfluqlfirilu functionrru! reentrant n3o recursive6o ar rur:o o n 6u nlfdou ri'ulei'

Variable Memory ModelsSmall, Compact, Large

Passing Parameters

ArgumentNumber

char1 byte ptr

int2 byte ptr

in Registers

R7

R6,R7

R4-R7

R3

longfloat

R4,R5 R2,R3

generic ptr R1-R3

FunctionReturn Values

Return Type Register

c(db -c -oo

:ooO -r\E t_/.

I

EoE

oo)

oC

o5

oo-

f

o

bit

char

int

long, floatgeneric ptr

Carry Flag

R7

R6, R7

R4-R7

R1.R3

46

t - t l

I I A I Irl I I I' Library Referencelllz.llBuffer Manipulationvoid *memccpy (void .dest,void *src,char c,int len);void *memchr (void .buf,char c,int len); ... R

char memcmp (void .bufl ,void .buf2,int len); ... R

void -memcpy (void -dest,void *src,int len); ... R

void "memmove (void "dest,void *src,int len); ... R

void *memset (void .buf,char c,int len); ... R

Gharacter Conversion and Classificationbit isalnum (char c); ... R

bit isalpha (char c); ... R

bit iscntrl (char c); ... R

bit isdigit (char c); ... R

bit isgraph (char c); ... R

bit islower (char c); ... R

bit isprint (char c); ... R

bit ispunct (char c); ... R

bit isspace (char c); ... R

bit isupper (char c); ... R

bit isxdigit (char c); ... R

char toascii (char c); ... R

char toint (char c); ... R

char tolower (char c); ... R

char_tolower (char c); ... R

char toupper (char c); ... R

char _toupper (char c); ... R

Data Conversionint abs (int val); ... R

float atof (void .string);

int atoi (void .string);

long atol (void .string);

char cabs (char val); ... R

long labs (long val); ... R

Mathfloat acos (float x);

lloat asin (float x);

float atan (float x);

lloat atan2 (float y,float x);

float ceil (float val);

float cos (float x);

float cosh (float x);

float exp (float x);

float fabs (float val); ... R

ffoat floor (float val);

float log (float val);

float log10 (iloat val);

floal modf (float val,float.ip);

float pow (float x,float y);

ini rand (void); ... R

float sin (float x);

float sinh (float val);

float sqrt (float x);

void srand (int seed);

float tan (float x);

float tanh (float x);

unsigned char _chkfloat_ (float val); ... l,R

unsigned char _crol_ (unsigned char c,unsigned char b); ... l,R

unsigned char _cror_ (unsigned char c,unsigned char b); ... I,R

unsigned int _irol_ (unsigned int i,unsigned char b); ... l,R

unsigned int _iror_ (unsigned int i,unsigned char b); ... l,R

unsigned long _lrol_ (unsigned long l,unsigned char b); ... l,R

unsigned long _lror_ (unsigned long l,unsigned char b); ... l,R

47 48

Memory Allocation Variable-length Argument Listsvoid *calloc (unsigned int num,unsigned int len); rype va_arg (argptr,type); ... Rvoid free (void xdata.p);void init-mempool (void xdata -p,unsigned int size);

void va-end (argptr); "' R

void *malloc (unsigned int size); void va-start (argptr,prevparm); "' R

void -realloc (void xdata *p,unsigned int size);

stream lnput and output Miscellaneous

char getchar (void); ... p int setjmp (mp-buf env); ..- R

char jetkey (void); void longjmp fimp_buf env,int retval); ... Rchar *gets (char.string,int len); void _nop_ (void); ... l,Rint printf (const char *fmtstr

[,arguments]...);char putchar (char c); bit -testbit- (bit b); "' l,R

int puts (const char.string); ... Rint scanf (const char *fmtstr

[,arguments]...); ?ltJlUtilAint sprintf (char *buffer,const char .fmtstr

[,arguments]...);int sscanf (char .buffer,const char .fmtstr

[,arguments]...); | = lrltrll'lslc

char ungetchar (char c); R = Reentrant

void vprintf (const char *fmtstr,char .argptr);

void vsprintf (char *buffer,const char *fmtstr,char .argptr);

String Manipulationchar *strcat (char .dest,char *src);

char *strchr (const char *string,char c); ... nchar strcmp (char -stringl,char *string2); ... Rchar *strcpy (char.dest,char *src); ... Rint strcspn (char *src,char *set);

int strlen (char.src); ... Rchar *strncat (char *dest,char *src,int len);char strncmp (char .stringl,char -string2,int len);char -strncpy (char.dest,char *src,int len);char -strpbrk (char "string,char .set);

int strpos (const char *string,char c); ... Rchar *strrchr (const char *string,char c); ... nchar *strrpbrk (char .string,char .set);

int strrpos (const char *string,char c); ... nint strspn (char .string,char .set);

4950