Generador de Proyectos

120
N.º 373/374 8,50 e 9 7 7 0 2 1 1 3 9 7 0 0 8 0 0 3 7 3 ISSN 0211-397X Julio/Agosto 2011 [ Analógico Digital Microcontroladores & Embebido Audio Test & Medida ] www.elektor.es Generador de Proyectos ideas de diseño, curiosidades y consejos Más de 70 páginas de pequeños circuitos, GUÍA DE DISEÑO electrónico Personal Download for I © Elektor 63414

Transcript of Generador de Proyectos

N.º 373/374 8,50 e

9 770211 397008

0 0 3 7 3

ISSN 0211-397X

Julio/Agosto 2011

[Analógico • Digital • Microcontroladores & Embebido • Audio • Test & Medida ]

www.elektor.es

Generador de Proyectos

ideas de diseño, curiosidades y consejosMás de 70 páginas de pequeños circuitos,

GUÍA DE DISEÑO

electrónico

Personal Download for I © Elektor

63414

>> Explore la plataforma de adquisición de datos de NI en ni.com/daq/esa 91 640 0085 ó 93 582 0251

National Instruments Spain S.L. Europa Empresarial c/Rozabella, 2 - edifi cio Berlin 1a planta 28230 Las Rozas (Madrid) España Tel: +34 91 640 00 85 ó 93 582 0251Fax. +34 91 640 05 33 ó 93 582 4370 CIF: B-80021462 Inscrita en el Registro Mercantil de Madrid, Folio, 115, Tomo 1181, Hoja N°22335, Inscrip. 1a Sociedad Unipersonal S.L.

©2011 National Instruments. Todos los derechos reservados. National Instruments, NI y ni.com son marcas registradas de National Instruments. Los nombres de los otros productos y las razones sociales mencionadas son marcas registradas o nombres comerciales de sus respectivas compañías. 3487

Desde aplicaciones sencillas hasta las más complejas, ninguna herramienta puede

soportar sus medidas de manera más efectiva que la plataforma de adquisición de

datos de National Instruments. Cualquier necesidad que tenga como presupuesto

disponible, el número de canales, o los requisitos de rendimiento, el alto

rendimiento E/S y la y la habilidad de mejorar la productividad a través de software

puede conquistar cualquier reto. Esta combinación puede ayudarle a equilibrar el

rendimiento y la inversión para proporcionar una precisión escalable y siempre fiable.

Adquisición de datos de National Instruments: diseñado para rendimiento.

Lo que decida medir,nosotros tenemos la solución.

3487 DAQ DFP.indd 1 4/8/11 8:15:21 AMNaamloos-1 1 24-05-11 14:18

Personal Download for I © Elektor

63414

Naamloos-3 1 25-05-11 08:46

Personal Download for I © Elektor

63414

Páginas que generan proyectos

Aquí la tienes – la recopilación anual que hace Elektor de pequeños proyectos, ideas y trucos de diseño, a los que hemos añadido “trivialidades” por primera vez este año. Esta edición es formalmente conocida como “Circuitos del Verano” aunque desde el año pasado la hemos rebautizado como “Generador de Proyectos”. Si es esta la primera vez que ves este formato de Elektor, te podemos asegurar que ha sido así desde 1981, sin que ninguno de nuestros competidores haya intentado imitarlo. Si, no solo es inusual en términos de duración, dos meses (julio y agosto), si no que tiene distinto tamaño (120 páginas en vez de 80) y cobertura (muchos proyectos en desarrollo en lugar de todos perfectamente terminados y listos para reproducir). Ahora que lo pienso, el número de artículos publicados en todas las ediciones de Julio/Agosto ronda los 3.500.Y aquí la tienes: la edición de Elektor que más se vende en el año; un preciado tesoro para todos aquellos de vosotros que buscáis ideas a la hora de diseñar, modificar o investigar ciertas partes de un circuito, o simplemente queréis poneros al día en los enfoques de diseño de la Tercera Vía (es decir, fuera de los caminos usuales). Cada uno de los pequeños artículos que hay entre las páginas 14 y 97 te está pidiendo que lo mejores, rediseñes, analices o incorpores a tus diseños – ayudándote a generar tu propio proyecto y, quizá, publicarlo en Elektor.Como cada año, el personal del nuestro laboratorio y el equipo editorial se dejaron las pestañas para tenerlo todo a tiempo, y finalmente lo lograron. Para complementar el com-pendio de pequeños artículos, hemos añadido algunos otros de los que publicamos normalmente.La edición “Generador de Proyectos” ha sido tradicionalmente

el lugar donde los lectores tienen la oportunidad de presentar sus pequeños proyectos (sobre

todo en sus propias palabras) a los miembros de

la comu-

nidad electrónica, feliz-mente acompañados por algunas aportaciones del laboratorio de Elektor. En los últimos años, aquellos que consiguieron publicar sus contribuciones consiguieron un pequeño presente. Este año todos ellos recibirán una placa de desarrollo de microcontrolador LPCXpresso amablemente patrocinada por NXP. En la página 50 encontrarás un artículo que describe cómo empezar con esta potente plataforma de desarrollo basada en un procesador ARM.Disfruta leyendo esta edición extra-gruesa y cuéntame cuán-tos de estos proyectos te han ayudado a generar los tuyos.

Eduardo Corral, Editor

MásColofón 6FAT Pequeño 98Ganadores del Desafío NXP 59Hexamurai 110Hexley Ball gana el primer concurso mbed 63Milkymist SoC 104National Instruments - Elektor 12Próximo Número 116Noticias Locales 8Tienda de Elektor 112

COMPENDIO GENERADOR DE PROYECTOS 2011

Audio, Video y FotografíaAdaptador de alto nivel de audio 65Amplificador de 40 W «sabor años 60» 44Conmutador de vídeo para el interfono 67Flash esclavo para la fotografía submarina 15Igualando HEXFETs 84Limitador de tensión para amplificadores de guitarra 79Preamplificador, mezclador y controlador

de línea de guitarra eléctrica 91

Casa y JardínAnticongelante para conducto de agua socarex con

cinta para valla eléctrica 32Detector de nivel de agua 21El sensor de movimiento más barato 86Electrónica para bombas controladas por nivel 54Interruptor crepuscular 19Interruptor de red automático para segundas

residencias 36Pequeñas lámparas con elevado consumo en standby 95Temporizador de 2-4-6 horas 52Timbre en cadena 65Timbre WAV 76

Fuentes de Alimentación, Baterías y CargadoresAlimentación flotante para equipo eléctrico de panel 18Cargador USB a pedales 77

Personal Download for I © Elektor

63414

SUMARIOVolumen 32

Julio y Agosto 2011n.º 373/374

Convertidor DC/DC con el LT1376 25El LM2931-5.0 también es un generador de ruido

aleatorio 56Fuente de alimentación ininterrumpida para el router 93Fuente de corriente ajustable hasta 0 mA 21Fuente de corriente para carga conectada a masa 69Generador de alta tensión 66Regulador de energía para convector eléctrico de

apoyo 47Regulador LDO ajustable 89Regulador para un generador de corriente trifásica 82Sistema de alimentación con aislamiento de alta

tensión 96

Hobby, Juegos y ModelismoCable caliente 45Controlador de robot para Arduino Nano 14Divisor de cuentarrevoluciones 67Indicador de descarga para baterías 68Lámpara solar RGB 48Luz de bicicleta con 24 LED y cero-IC 26Luz de soldadura para maquetas de trenes 70Reloj en Morse 53Semáforo para modelismo 31Temporizador para “jogging” 83Temporizador para tiempos muy largos 56

MicrocontroladoresATM18 – Anti-gritón 78ATM18 – Tres termómetros, un solo hilo 52ATtiny15 como oscilador de MHz 55Comenzando con nuestra placa gratuita LPCXpresso 50Contenedor de tiempo 49Conversor de nivel RS232 con aislamiento 58El R8C/13 sabe hablar CAN 90La Caza del LED 20Más líneas de puerto para el R8C/13 64Mini-Placa de experimentación para ATtiny45 29Programador SCAP-AVR 72Sceptre - Control de una pantalla táctil como Arduino 24“Shields” para Arduino 69Sonda de desbloqueo para µC AVR 37Unidad de interfaz I2C 80

Ordenadores, Software e InternetLector de tarjetas MicroSD 70Mejora para hub USB 51Números de puertos COM predeterminados 86

Radio (RF)Dipmeter minimalista 38Receptor de radio de onda larga 85Seguidor de emisor Audion 19

Test y MedidaComprobador de baterías 34Detector de fallo de toma de tierra 45Detector láser de altura 30Filtro de medición para clase D 74Indicador de temperatura de aceite para moto de 125 cc 39Oscilador triangular con convertidor de seno 84Probador universal para componentes de tres

terminales 16Sencillo generador y probador de onda cuadrada 71Sonda de tensión variable 38Tester de infrarrojos 95

Electrónica Variadae Ideas de DiseñoAlgunos relés estáticos de CC 92Electrónica Variada e Ideas de Diseño 81Filtrado para relés de 12 V 30Generador de caos 46Generador de diente de sierra NPN 22Laminado antisoldadura casero 88Luz secuencial analógica con LEDs 97Mini-intermitente 35Multi-intermitente de LEDs 48Oscilador en anillo 87Pelacables de bajo coste 18Placa de pruebas Elex 57Placa multiconector para PIC10F2xx (SOT23-6) 82Protección antirrobo para el OBD 51Reconstrucción del principio del tren de levitación

magnética 28Relé estático de arranque de 70 A 40Sensor de efecto Hall experimental 36Silbato para Ronja 94

Personal Download for I © Elektor

63414

6 7/8-2011 elektor

ANALÓGICO • dIGItAL MICROCONtROLAdORES & EMBEBIdO

AudIO • tESt & MEdIdA

N.º 373/374 8,50 e

9 770211 397008

0 0 3 7 3

ISSN 0211-397X

Julio/Agosto 2011

[Analógico • Digital • Microcontroladores & Embebido • Audio • Test & Medida ]

www.elektor.es

Generador de Proyectos

ideas de diseño, curiosidades y consejosMás de 70 páginas de pequeños circuitos,

GUÍA DE DISEÑO

electrónico N.º 372 6,50 e

9 770211 397008

0 0 3 7 2

ISSN 0211-397X

Junio 2011

[Analógico • Digital • Microcontroladores & Embebido • Audio • Test & Medida ]

www.elektor.es

Protocolo USB desmitificado

Detector de Radiación

Desarrollando Apps para Android

Retrónica: La destacable prehistoria del LED

Flowcode RC5: Añade control remoto a tus proyectos

Medida de los RayosGamma con un Fotodiodo

Con PC, placa Beagle,teléfono o tablet

Curso DSP

continuación

www.elektor.es

N.º 371 6,50 e

9 770211 397008

0 0 3 7 1

ISSN 0211-397X

Mayo 2011

[Analógico • Digital • Microcontroladores & Embebido • Audio • Test & Medida ]

Diseño profesional de paneles frontales gratuito

¿Cómo son de útiles lasaplicaciones de electrónica para tablets y smartphones?

Tarjeta gráfica VGA para micros

Sistema de micrófono para conferencias

Curso de Audio DSP

Una plataforma versátil para aprendizaje y experimentación

Avance rápido en el procesado de señales de audio

Robot Proton de Elektor

DMX512

Regulador de 1 canal

N.º 373/374 8,50 e

9 770211 397008

0 0 3 7 3

ISSN 0211-397X

Julio/Agosto 2011

[Analógico • Digital • Microcontroladores & Embebido • Audio • Test & Medida ]

www.elektor.es

Generador de Proyectos

ideas de diseño, curiosidades y consejosMás de 70 páginas de pequeños circuitos,

GUÍA DE DISEÑO

electrónico

Número 373/374, Julio/Agosto 2011 ISSN 0211-397X

Elektor Electronics Worldwide, es una edición que tiene por objetivo inspirar a sus lectores a que utilice la electrónica a todo nivel, presentado proyectos y desarrollos electrónicos y tecnología de la información.

Edita: Elektor International Media Spain, S.L., Apartado de Correos 73, 08870 Sitges (Barcelona), España.Tel.: +34 938 110 551, Fax: +34 933 969 358Web: www.elektor.es E-mail: [email protected]

La revista está disponible en kioscos, librerías y tiendas de electrónica, o mediante suscripción. Elektor se publica 11 veces al año con una edición doble para los meses de Julio y Agosto.

Elektor se publica también en Inglés, Francés, Alemán y Holandés. Junto con las ediciones franquiciadas, la revista está en circulación en más de 50 países.

Jefe de Redacción internacional: Wisse Hettinga

Redacción Elektor España: Eduardo Corral ([email protected])

Redacción Internacional: Harry Baggen, Thijs Beckers,Jan Buiting, Guy Raedersdorf, Clemens Valens, Ernst Krempelsauer y Jens Nickel

Laboratorio: Antoine Authier (Responsable), Ton Knipa, Ton Giesberts, Luc Lemmens, Daniel Rodrigues, Jan Visser y Christian Vossen

Cartas del lector: [email protected]

Director Internacional: Paul Snakkers

Publicidad: Susanna Esclusa ([email protected])

Marketing: Carlo van Nistelrooy

Atención al Cliente: Carlo van Nistelrooy

Suscripciones: Elektor International Media Spain, S.L., Apartado de Correos 62011, 28042 Madrid, EspañaTel.: +34 91 101 93 95, Fax: +34 91 101 93 96 Internet: www.elektor.es E-Mail: [email protected]

Elektor International Media es una plataforma multimedia e interactiva para todos aquellos

interesados en la electrónica. Desde los profesionales apasionados por su trabajo a los aficionados

con ambiciones profesionales. Desde los principiantes a los expertos, desde los estudiantes a los

profesores. Información, educación, inspiración y entretenimiento. Analógico y digital; práctico y

teórico; software y hardware.

Personal Download for I © Elektor

63414

7elektor 7/8-2011

Más información, video demo y pedidos en

www.elektor.es/proton

Características

• Sensor US de distancia• 8 LED para la boca• Altavoz piezoeléctrico• 8 LED en el cuerpo• 3 sensores IR de distancia• Módulo controlador de motor• 3 sensores de línea• Ojos LED• 2 Fototransistores• 2 Servomotores• LCD• Pulsador de color rojo y negro• Módulo de audio• Dispositivo de agarre

PedidosPuedes pedir el robot ensamblado y comprobado pero también como un kit completo para ensamblarlo tú mismo.

Kit completo: Cuerpo + Cabeza + Audio + Pinza + Placa de control PIC o AVR a elegir1.249,00 €

Robot ensamblado y comprobado:Cuerpo + Cabeza + Audio + Pinza + Placa de control PIC o AVR a elegir1.699,00 €

Elektor presenta con el Proton una plataforma polifacética de robótica apta para estudiantes,

afi cionados y profesionales. El robot puede funcionar con diferentes familias de micro-

controladores y ofrece una amplia selección en todo tipo de sensores y actuadores. ¡No dejes

escapar esta oportunidad e invierte en una combinación única de conocimiento y placer!

El Robot Proton de Elektor

Nuevo

Una plataforma versátil para aprendizaje y experimentación

ELEK ES1107 Proton Robot s7.inddSec1:7 Sec1:7 25-05-2011 10:35:44

Maquetación: David Márquez

Imprime: Senefelder Misset – Doetinchem, The Netherlands

Distribución en España: S.G.E.L.

Depósito LegalGU.3-1980ISSN 0211 – 397X31 de Diciembre de 2006

P.V.P. en Canarias: Precio de cubierta más sobre tasa aérea de 0,15 euros.

Derechos de autorLos circuitos descritos en esta revista son exclusivamente para uso doméstico. Los derechos de autor de todos los gráficos, fotografías, diseños de circuitos impresos, circuitos integrados programados, discos, CD-ROM’s, portadores de software y los textos de los artículos publicados en nuestros libros y revistas (que no sean anuncios de terceros) están registrados por Elektor International Media BV y no pueden ser reproducidos o difundidos de ninguna forma ni por ningún medio, incluidas fotocopias, escaneos o grabaciones, parcial o totalmente sin la previa autorización escrita del Editor.También será preciso disponer del citado permiso antes de almacenar cualquier parte de esta publicación en sistemas de recuperación de cualquier naturaleza. Los circuitos, dispositivos, componentes, etc., descritos en esta revista pueden estar protegidos bajo patente. El Editor no acepta responsabilidad alguna en ausencia de identificación

de la citada patente(s) u otra protección. La presentación de diseños o artículos implica que el Editor está autorizado a modificar los textos y los diseños presentados y a utilizar los contenidos en otras publicaciones y actividades de Elektor International Media. El Editor no garantiza la devolución del material a él enviado.

RenunciaLos precios y descripciones de los productos relacionados con la publicación están sujetos a modificación. Excluidos errores y omisiones. Las opiniones expresadas a lo largo de los distintos artículos, así como el contenido de los mismos, son responsabilidad exclusiva de sus autores. Así mismo, el contenido de los mensajes publicitarios es responsabilidad de los anunciantes.

© Elektor International Media BV 2008

Personal Download for I © Elektor

63414

7/8-2011 elektor 8

NOTICIAS

Memoria RFID de alta densidad para facilitar las tareas de mantenimiento

Tarjeta Pico-ITX versátil con capacidades multimedia en el formato más compacto

La placa VIA EPIA-P830 ofrece un excelente rendimiento HD sobre HDMI, y dos slots Mini-PCIe para mejorar las opciones de conectividad y crear una de las soluciones más inteli-gentes de la industria. VIA Technologies, Inc., empresa representada en España por Anatronic, S.A., anun-cia la disponibilidad de su placa VIA EPIA-P830 Pico-ITX destinada a aplicaciones em-bebidas avanzadas, portátiles y conectadas a redes. La placa VIA EPIA-P830 se distingue por incluir el procesador VIA Nano E-Series y el procesador de sistema multimedia (MSP) VIA VX900 más reciente, con soporte adi-cional para dos módulos Mini-PCIe que proporcionan una gran cantidad de opciones de 3G y conectividad inalámbrica.

La nueva tarjeta extiende el formato Pico-ITX al usar placas de expansión de bajo perfil para proporcionar un acceso fácil ‘on-board’ a VGA, RJ45 y dos puertos USB, y dos slots Mini-PCie ideales para acomodar una amplia gama de módulos Mini-PCIe. Con unas dimensiones de apenas 10 x 7,2 cm, la placa ultra com-pacta VIA EPIA-P830 incorpora un procesador VIA Nano E-Series a 1.2

GHz y soporta hasta 4 GB de memoria de sistema DDR3 800/1066 SODIMM. El procesador de sistemas multimedia “todo en uno” VIA VX900, altamente integra-do, dota de una aceleración por hardware de los códecs de vídeo HD más reciente en resoluciones de pantalla de hasta 1080p con un uso muy reducido de la CPU. Además, el núcleo gráfico integrado 3D VIA Chrome9™ HCM cuenta con soporte completo para DirectX 9.0 y un motor 2D de 128 bit con funciones de rotación por hardware. Esta tarjeta madre usa una placa adicional de I/O especialmente desarrollada que comple-ta el puerto HDMI nativo para añadir un puerto VGA, un puerto Gigabit LAN y dos puertos USB 2.0. El códec de audio VIA Vinyl HD proporciona audio de seis canales con funciones DTS y respaldo S/PDIF. El almacenamiento se proporciona mediante dos puertos SATA. Los cabezales de pin en placa ofrecen soporte para una pantalla LVDS de un canal, cinco puertos USB 2.0 adicionales, un conector LPC, un conector SMBus, soporte para PS/2, tomas de audio, LVDS, cuatro pares de DIO y dos puertos UART. También se incluye un conector de corriente continua (DC-in). La plataforma integrada definitiva - VIA Nano E-Series y VIA VX900 La plataforma de microprocesador más reciente de VIA ha sido diseñada a la medida de las aplicaciones integradas, una potente combinación que abarca el procesador VIA Nano E-Series optimizado y el procesador de sistema multimedia VIA VX900 más reciente. Estos procesadores integrados de la representada de Anatronic disponen de soporte de duración extendida hasta siete años y ofrecen el diseño de microprocesador de bajo consumo más atractivo del sector. El VIA Nano E-Series ofrece procesamiento ‘out-of-order’ de 64 bit que satisface las necesidades actuales de rendimiento bruto, a la vez que se mantiene dentro de es-trictos parámetros de bajo consumo y reducido calentamiento. El MSP VIA VX900, por su parte, añade soporte para memoria DDR3, audio HD, co-nectividad de pantalla avanzada y un descodificador de vídeo HD por hardware de alto rendimiento con motor de vídeo. El VIA ChromotionHD 2.0 proporciona filtrado avanzado y post-procesamiento pun-tero para realizar una decodificación extremadamente uniforme de MPEG-4/AVC, H.264, MPEG-2, VC-1 y WMV-HD, lo cual permite una reproducción impecable de los títulos multimedia más demandados a resoluciones de hasta 1080p sin elevar la carga de trabajo de la CPU.

www.anatronic.es

Ayuda a incrementar el almacenamiento de da-tos y a reducir el coste de producción de equipos en sanidad, aviación, logística, petroquímica, construcción y fabricación. STMicroelectronics, compañía líder en circuitos integrados (IC’s) de comunicación y de memoria, ha ampliado su catálogo de dispositivos RFID con la introducción del chip LRiS64K para permitir a los equipos de soporte técnico responder con información detallada, como el historial de man-tenimiento, y acelerar y simplificar los registros y archivos de los clientes externos e internos. El nuevo chip combina circuitería RFID con una gran capacidad EEPROM no volátil de 64 Kbit para almacenar numerosos datos, como deta-lles del fabricante e historial de actualizaciones y actuaciones. Esta innovadora memoria RFID también se ca-racteriza por la integración exclusiva de funcio-nes inalámbricas (ratio de 53 kbps), almacena-miento de datos, retención segura y fiabilidad a largo plazo. Por lo tanto, los profesionales de mantenimien-to para equipos médicos e industriales, contro-ladores de automoción o módulos de aviación con un dispositivo LRi64K pueden acceder a la información más importante, directamente o a través de un lector RFID. Este almacenamiento ‘on-board’ elimina la necesi-dad de recuperar grabaciones o acceder a bases de datos online. Además, la historia de servicio de la unidad se puede actualizar en la memoria LRiS64K durante inspecciones o arreglos posteriores. Todas estas características ahorran tiempo y costes MRO (Mantenimiento – Reparación – Operaciones) en muy diversos sectores, desta-cando, sanidad, aviación, logística, petroquími-co, construcción y fabricación. El LRiS64K es un dispositivo de 13.56 MHz ‘long-range’ que, basado en los estándares ISO 15693 e ISO 18000-3 modo 1, puede tra-bajar con otros modelos del mismo rango. Su condensador de sintonización simplifica la co-nexión a una antena externa. Las características del LRiS64K se completan con retención de datos durante más de cua-renta años y resistencia a más de un millón de ciclos de escritura / borrado, UID de 64 bit, protección ‘multi-password’ y tiempo típico de programación de 5.75 ms.

www.st.com

Personal Download for I © Elektor

63414

Publicidad

NI lanza el módulo de sincronización PXI Express de más altas prestaciones del mercado y el controlador remoto PXI Express más rápido del mercado

Los nuevos productos de NI amplían las capacidades y prestaciones de la plataforma PXI para los sistemas multichasisNational Instruments ha presenta-do dos productos PXI Express que amplían las prestaciones y capaci-dades de la plataforma, especial-mente para los sistemas multicha-sis. El módulo de sincronización NI PXIe-6674T es el de mayores pres-taciones en cuanto a temporiza-ción y sincronización del mercado y el controlador NI PXIe-PCIe8388 es el primer controlador remoto del mercado con una velocidad de transmisión de datos de 8 GB/s en cada dirección. Los ingenieros pueden utilizar los nuevos pro-ductos para crear sistemas PXI Express de altas prestaciones con sincronización ajustada y elevada velocidad de transmisión de da-tos.“NI trabajó con la Alianza de Sistemas PXI (PXI Systems Alliance) para lanzar la plataforma PXI en 1997 y continúa siendo un líder en potenciar sus prestaciones con la introducción de estos nuevos productos PXI Express”, dijo Eric Starkloff, vicepresidente de mar-keting de producto de NI. “El nuevo módulo de sincronización es el primero del mercado en facilitar el acceso a la avanzadas caracterís-ticas de temporización y sincronización de PXI Express permitien-do un mejor enrutamiento de las señales de reloj y disparo trigger y la obtención de una excelente sincronización en la plataforma PXI. El nuevo controlador remoto realiza la interfaz con los sistemas PXI Express utilizando el enlace cableado PCI Express que es más rápi-do duplicando las prestaciones del procesamiento de datos para las aplicaciones de alta velocidad de transmisión de datos.”El módulo de sincronización NI PXIe-6674T desbloquea las capa-cidades más avanzadas de temporización y sincronización de los sistemas PXI Express. Genera y enruta los relojes y triggers entre los dispositivos dentro de un chasis PXI Express. También puede enrutar externamente señales a otros chasis PXI y PXI Express de terceros. El módulo de sincronización puede generar dos tipos de señales de reloj. El primero es un reloj muy estable de 10 MHz basa-do en una referencia de oscilador OCXO (Oven-Controlled Crystal Oscillator) de precisión con una exactitud de 50 ppb. El segundo es un reloj de hasta 1 GHz con una resolución de 1 μHz que provie-ne del circuito de generación de un reloj de síntesis digital directa (DDS). También dispone de enrutamiento avanzado de señales de disparo y temporización mediante las líneas diferenciales en estre-lla PXIe_DSTAR que ofrecen desajustes menores de 500 ps y retar-dos de 10 ns.El kit NI PXIe-PCIe8388 utiliza un enlace x16 Gen 2 PCI Express que proporciona un rendimiento sostenido de más de 8 GB/s en cada dirección. Los ingenieros pueden utilizar el controlador remo-to NI PXIe-PCIe8388 para controlar sistemas PXI Express desde un slot x16 Gen 2 PCI Express de un controlador montado en rack de NI. Por ejemplo, el controlador remoto funciona con el nuevo NI RMC-8354 (que cuenta con un procesador Intel® Core™ i7) a tra-vés de un enlace cableado de PCI Express de banda ancha y total

transparencia. Gracias a este nivel de prestaciones en el procesa-miento de datos, este controlador remoto es ocho veces más rápido que los actuales controladores remotos de la compañía. El con-trolador remoto cuenta también con un puerto de salida para crear sistemas multichasis de alto ren-dimiento mediante la conexión a otros chasis PXI Express, así como a otros chasis con las unidades de procesamiento gráfico de propósi-to general (GP-GPU) para obtener una mayor capacidad de trata-

miento de la información.Ambos nuevos productos funcionan con el software de NI, como es el caso del entorno gráfico de desarrollo NI LabVIEW y el hard-ware de NI, como el caso de los instrumentos modulares.

www.ni.com

NOTICIAS

tel. +31 (0)595 49 17 48fax +31 (0)595 49 19 46

Llevando sus altavoces a un nivel superior

Visite nuestra web para más información

sobre nuestro nuevo programa

DISTRIBUIDOR EUROPEO

[email protected] www.moreleurope.com

9elektor 7/8-2011Personal Download for I © Elektor

63414

NOTICIAS

10 7/8-2011 elektor

Vicor añade a su oferta convertidores de bus de alta potencia con un amplio rango de entrada

IC driver LED de bajo consumo

Vicor anuncia las últimas incorporaciones a las series IBC 050 e IBC 048 de Converti-dores de Bus Intermedio (Intermediate Bus Converters) VI BRICK™. La nueva familia está formada ya por más de 12 modelos, en formatos de octavo y cuarto de brick, que suministran entre 300W y 750W.Los productos IBC 048 e IBC 050, que ofre-cen una equivalencia funcional pin to pin con los convertidores industriales estándar, permiten que los diseñadores consiguen importantes aumentos en la densidad de potencia no sólo gracias a los mayores ni-veles de potencia, sino también al espacio liberado en la placa de circuito impreso por

la menor necesidad de com-ponentes pasivos externos.El modelo más reciente, presentado en PCIM, es el IB050Q096T70N1-00, un sustituto de alojamiento abierto para convertidores de bus intermedio de tipo estándar caracterizado por su alta potencia y un factor de conversión 5:1. Funcio-na con un amplio rango de tensiones de entrada de 36 a 60V para niveles de poten-cia de hasta 750W, un aisla-

miento de 2250VCC entre entrada y salida y un pico de eficiencia superior al 98%. El IB050Q096T70N1-00 suministra una ten-sión de bus de salida de 7 a 12V, mientras que otros productos de la familia con un factor de conversión 4:1 suministran de 8,7 a 15V. La familia incluye convertidores con un rango de entrada estándar de 38 a 55V (IBC 048) así como el tipo IBC 050 con un amplio rango de entrada.En todos los casos los módulos son confor-mes a ETSI EN300 132-2 para aplicaciones de telecomunicaciones de 48V y su mayor nivel de aislamiento entre entrada y salida cumple los estándares IEEE 802.3 Power-

over-Ethernet (PoE). Los Convertidores de Bus IBC 048 y 050 VI BRICK™ utilizan la tecnología Sine Amplitude Converter (Con-vertidor de Amplitud de Seno) de Vicor con una frecuencia de conmutación de 1MHz, una respuesta a transitorios 10 veces más rápida, una enorme reducción de las emi-siones de armónicos y la eliminación de los voluminosos condensadores externos de almacenamiento. El IB050Q096T70N1-00 también elimina la necesidad de los costo-sos disipadores de calor. La potencia máxi-ma está disponible con una temperatura ambiente de 55°C y con un caudal de aire de sólo 200LFM (pies lineales/min).El formato estándar de cuarto de brick del producto, con unas dimensiones de 58,4 x 36,8 mm, tiene un perfil de sólo 10,5 mm. Otros dispositivos de la familia con poten-cias de salida de 300W y 500W se sumi-nistran en un formato de octavo de brick con unas dimensiones de 58,4 x 22,9 mm y un perfil aún menor de sólo 9,5 mm. La sección transversal muy reducida de estos productos genera una mínima pérdida de aire en refrigeración, lo cual contribuye a una refrigeración efectiva de los compo-nentes situados a continuación.

www.vicoreurope.com

Las unidades ZLED7012 y ZLED7022 son idea-les para dispositivos móviles y sistemas de na-vegación en encapsulados ultra pequeños. VELOREL, S.L. presenta novedades en la fa-milia ZLED de soluciones de control LED de ZMDI con la introducción de dos nuevos IC de baja tensión para dispositivos portátiles alimentados por batería. El ZLED7012 y el ZLED7022 incorporan convertidores DC / DC con bomba de car-ga de frecuencia constante y mínimo ruido (tecnología sin inductor de baja EMI) que pueden dirigir hasta cuatro (ZLED7012) o seis (ZLED7022) series de LED. Los nuevos IC se presentan en un encapsu-lado UTQFN ultra pequeño (2 x 2 mm) de 12 pines y solo requieren tres componen-tes externos para operar, contribuyendo así a reducir la lista de materiales (BoM). Capaces de trabajar eficientemente con fuentes de tensión de DC de 2.8 a 5.5 V, los IC driver son ideales para pequeñas apli-caciones de iluminación LED alimentadas

por batería, como teléfonos móviles, PDA, GPS y sistemas de navegación. Los nuevos modelos ZLED también se pueden emplear para iluminar marcos digitales de foto-

grafías y en numerosos entornos industriales y de consumo con requerimientos de bajo consumo. Gracias a la entrada digital de con-trol de pulso (PCC), los diseñado-res pueden activar y desactivar los LED y establecer el nivel de corriente (en un rango de 1.8 a 20 mA por canal LED). Esto permite una gestión eficiente en tiempo real de los LED a través de micro-controladores o sistemas de con-trol. Las características se completan con circuitería ‘soft-start’ para proteger ante elevación de co-rriente durante el encendido, modo ‘shutdown’ que reduce el consumo de corriente inactiva a

1 μA, y rango de temperatura operativa de -40 a +85 °C.

www.velorel.es

Personal Download for I © Elektor

63414

11elektor 7/8-2011

NOTICIAS

Microchip y Digilent presentan la primera plataforma de desarrollo para microcontroladores de 32 bit compatible con Arduino

Microchip anuncia la primera plataforma de desarrollo de código abierto basada en un microcontrolador de 32 bit compatible con el hardware y software Arduino™. La plataforma chipKIT™, que ha sido diseñada y fabricada por Digilent, un Microchip Authorized Design Partner, es la primera y única solución de 32 bit para Ar-duino que permite a aficionados y docentes una forma sencilla y económica de integrar la electrónica en sus proyectos aunque no posean conocimientos de ingeniería electrónica.

Las tarjetas y el software chipKIT proporcionan un mayor nivel de prestaciones y funcionalidad que cualquier otra solución para Arduino disponible en el mercado. Con un precio inicial de tan sólo 26,95 dóla-res por unidad, docentes y aficionados pueden multiplicar por cuatro las prestaciones de cualquier otra solución existente para Arduino y disponer de proyectos en funcionamiento en cuestión de minutos.La plataforma consta de dos tarjetas de desarrollo basadas en PIC32 y un software de código abierto totalmente compatible con el len-guaje de programación y el entorno de desarrollo Arduino. El hard-ware chipKIT es compatible con las tarjetas de conexión (shields) y las aplicaciones para Arduino ya existentes y se puede desarrollar mediante el Arduino IDE y los recursos existentes, como ejemplos de código, bibliotecas, referencias y clases de formación. Esta solu-ción de sencillo manejo y bajo coste ofrece soporte al desarrollo de proyectos por parte de aficionados y docentes de disciplinas tan va-riadas como la ingeniería mecánica, la informática o incluso el arte.Las tarjetas chipKIT basadas en PIC32 ofrecen unas prestaciones de 80 MHz, hasta 512 KB de Flash y 128 KB de RAM. Incorporan perifé-ricos para conectividad, entre ellos Ethernet, CAN y USB (Full-Speed Host, Dispositivo y OTG), así como periféricos como varios tempori-zadores, un convertidor A/D de 1 MSPS y 16 canales, dos compara-dores y múltiples interfaces I2C™, SPI y UART. El chipKIT integra el mi-crocontrolador PIC32 de Microchip, que es el microcontrolador de 32 bit con las mayores prestaciones dentro de su categoría gracias al núcleo MIPS32® M4K® de MIPS Technologies, Inc.En el diseño del software se ha asegurado su máximo nivel de com-patibilidad con las tarjetas de conexión, las aplicaciones y el mate-rial de formación para Arduino ya existentes. El entorno de progra-mación Arduino se ha visto modificado y ampliado, de forma que ya ofrece soporte a las tarjetas chipKIT basadas en PIC32, así como a las tarjetas Arduino tradicionales. También se han introducido

modificaciones en las bibliotecas estándar de Arduino para ofrecer soporte a las tarjetas chipKIT y a las tarjetas Arduino tradicionales. Todo este trabajo ha sido beneficioso a su vez para la comunidad Arduino de código abierto. Con la excepción de un pequeño núme-ro de tarjetas de conexión que trabajan a 5V, la inmensa mayoría de aplicaciones de hardware y software para Arduino ya existentes son totalmente compatibles con la plataforma chipKIT sin necesi-dad de introducir modificación alguna.La tarjeta de desarrollo chipKIT Uno32™ (referencia TDGL002) tiene un precio de 26,95 dólares, es clónica de la tarjeta Arduino Uno e incor-pora 128 KB de memoria de programa Flash así como 16 KB de RAM y dos periféricos I2C, dos SPI y dos UART. La tarjeta de desarrollo chip-KIT Max32™ (referencia TDGL003) tiene un precio de 49,50 dólares, es clónica de la tarjeta Arduino Mega e incorpora 512 KB de memoria de programa Flash así como 128 KB de RAM, comunicación mediante USB, CAN y Ethernet, además de cinco periféricos I2C, cuatro SPI y seis UART.Las dos tarjetas chipKIT y el código de código abierto correspon-diente se encuentran ya disponibles, mientras que la disponibilidad de chipKIT Network e I/O Shields está prevista para Junio 2011.

www.microchip.com

Publicidad

Personal Download for I © Elektor

63414

NI myDAQ distribuido en España por Elektor

ELEKTOR

Todo un laboratorio de electrónica en un solo dispositivo USBCon NI myDAQ, tu ordenador se convierte en el instrumento. Al instalar el controlador de hardware NI ELVISmx dispondrás de ocho instrumentos de ingeniería para trabajar como y donde tu quieras.

Multímetro Digital Osciloscopio Generador de Funciones Analizador Bode Analizador de Señales Dinámicas Generador de Forma de Onda Arbitraria Generador de Salidas Digitales Lector de Señales Digitales

Alimentación y conexión al PC - USB Alimentación ±15 V y 5 V 8 Entradas/Salidas digitales 1 Contador 2 Canales E/S analógicos 200 ks/s Entrada/Salida de Audio, 3.5 mm Multímetro Digital integrado

Alto: 147 mmAncho: 89 mmEspesor: 23 mmPeso: 173 g

Un versátil y asequible laboratorioNational Instruments para los

Personal Download for I © Elektor

63414

NI myDAQ distribuido en España por Elektor

National Instruments y Elektor han llegado a un acuerdo para la distribución en España del producto NI myDAQ a través de la red comercial de la revista. Podemos definirlo como un mini-laboratorio móvil de alta calidad que, conectado a un ordenador portátil con el software adecuado, permite a los estudiantes de electrónica experimentar, realizar sus prácticas y crear sus propios proyectos donde quieran y cuando quieran, liberándolos de la necesidad de hacerlo en un horario o en un laboratorio determinado, pudiendo reforzar sus conocimientos y completar sus trabajos tanto en su centro de enseñanza como en cualquier otro lugar y momento que ellos deseen.

Ahora puedes comprar tu NI myDAQ en Elektor

¡NI myDAQ por solo 149 Euros!(incluyendo licencias de estudiante de LabVIEW y Multisim)

Contenido del paquete: Dispositivo NI myDAQ, DVD de instalación que contiene NI ELVISmx y NI DAQmx, así como las versio-nes para estudiante de LabVIEW y Multisim con sus licencias correspondientes, Cable USB, Puntas de prueba para el multímetro, Cable de audio (3,5 mm), Conector de tornillos con destornillador NI, Tarjeta informativa de iniciación, Tarjeta informativa de programas de ejemplo de TI, Bandeja de alma-cenamiento reutilizable.

¡Todo lo que necesitas para ampliar y llevar a la prácticatus conocimientos de electrónica en un solo producto!

¡Atención! Para poder adquirir NI myDAQ deberás acreditar tu condición de estudiante.

Más información y pedidos en: www.elektor.es/myDAQ

electrónico portátil creado porestudiantes de electrónica

Personal Download for I © Elektor

63414

14 7/8-2011 elektor

Controlador de robot para Arduino NanoFrançois Auger (Francia)

Este montaje está pensado para ser montado delante del robot móvil BOE-Bot presentado en [1]. Aunque nada nos impide utilizar este montaje con cualquier microcontrolador, ha sido concebido para ser conectado a la placa soporte para Arduino Nano [2]. Esta placa soporte posee unas dimensiones que le per-miten ser montada sobre este robot y puede ser conectada a dos servomotores que ase-guran la propulsión del robot, gracias a unos conectadores previstos con este fin.El montaje presentado aquí permite a un robot móvil percibir informaciones sobre su entorno inmediato, con la ayuda de dos micro-contac-tos (sensores de fin de carrera), dos fotorresis-tencias y tres sensores de proximidad infra-rrojos. Esto permitirá al microcontrolador controlar correctamente al robot, enviando comandos adaptados a los servomotores.El circuito interfaz de tres sensores infrarrojo es clásico y ya ha sido utilizado en [3]. Los potenciómetros P1, P2 y P3 permiten ajustar la corriente que alimenta a los diodos emi-sores, es decir, la distancia máxima a la que el sensor logrará detectar la presencia de un obstáculo. Las resistencias de 2,2 k Ω sirven para proteger al microcontrolador contra cor-tocircuitos accidentales que podrían produ-cirse si el terminal del microcontrolador es configurado como salida y genera un nivel lógico diferente del generado por el sensor.Los micro-contactos permiten detectar la presencia de un obstáculo en el trayecto y, por lo tanto, evitar colisiones. Ellos fuerzan al terminal de entrada del microcontrolador a nivel bajo.Las dos fotorresistencias permiten hacer el seguimiento de la pista reflectante, para obli-gar al robot a que siga una trayectoria mar-cada en el suelo. Estas fotorresistencias están conectadas de tal modo que es posible hacer la medida de su resistencia con la ayuda de una sola entrada/salida lógica: al principio, el terminal del microcontrolador está confi-gurado como salida y colocado a nivel lógico alto, para descargar el condensador. Luego, el terminal es configurado como entrada, lo que lo coloca en alta impedancia. El conden-sador se carga a través de la fotorresistencia, con lo que el terminal pasa nivel lógico 1 a nivel lógico cero, al cabo de un tiempo pro-porcional al producto RC. Así pues, midiendo el tiempo que tarda el terminal para pasar de 1 a 0, logramos medir el valor de la fotorresis-tencia y, en consecuencia, la intensidad lumi-nosa que recibe.Una placa de extensión suplementaria, que contiene una zona para prototipos rápidos,

Liens internet[1] Curso de programación de Basic Stamp,

Elektor, de septiembre a diciembre de 1999.

[2] www.elektor.es/100396

[3] Basic-buggy, Elektor, abril de 1999.

[4] www.elektor.es/100395

facilita la conexión entre la placa soporte para Arduino Nano y los circuitos suplemen-tarios (brújula electrónica, reloj de tiempo real, coprocesador matemático, aceleróme-tro utilizado como inclinómetro…).En la página de Internet del artículo [4], encontraremos algunos programas (sketches) de prueba, así como el esquema para la placa de extensión suplementaria.

(100395)

IS471FIC1VCC

GNDVO

GL

1

3

2

4

D1

IR

P1

250R

C1

330n

+5V

IS471FIC2VCC

GNDVO

GL

1

3

2

4

D2

IR

P2

250R

C2

330n

+5V

IS471FIC3VCC

GNDVO

GL

1

3

2

4

D3

IR

P3

250R

C3

330n

+5V

R2

1MVT935G

C4

330n

+5V

R1220R

R3

1MVT935G

C5

330n

+5V

R4220R

100395 - 11

S1

K11

R5

4k7

+5V

S2

K21

R6

4k7

+5V

R72k2

R8

2k2

R92k2

R10

2k2

R112k2

Señal sensor IR derecho

Señal interruptor derecho

Señal interruptor izquierdo

Señal sensor LDR derecho

Señal sensor LDR izquierdo

Señal sensor IR central

Señal sensor IR izquierdo

DERECHO

CENTRAL

DERECHO

DERECHO

IZQUIERDO

IZQUIERDO

IZQUIERDO

K3

10111213

141516171819202122232425

123456789

SUB-D25

G1

G2

Personal Download for I © Elektor

63414

15elektor 7/8-2011

Flash esclavo

para la fotografía submarina

Daniel Savel (Francia)

Este montaje, inspirado en numerosos mon-tajes descritos en la “nube” (Internet), utiliza un módulo flash recuperado de una cámara de fotos desechable de la mar-ca Fuji. Su número guía [1] en el aire se sitúa alrededor de 14, que se convierte en 6 en el agua. No hay ajuste de la po-tencia de disparo.El flash utilizado por el autor, que está más bien destinado a la foto submarina, lo ha ins-talado en la caja de una vieja cámara de fotos Nikon Coolpix 7900, pero no es necesario de-cir que este montaje se presta perfectamente a la fotografía terrestre.El módulo flash es alimentado por una pila de 1,5 V y consu-me en torno a 30 ó 40 mA, una vez que el condensador está cargado.La parte lógica utiliza un microcontrolador PIC12F675, alimentado por una pila de bo-tón CR2016 de 3 V. Su consumo es irrisorio: sólo unos mA durante los 200 ms de trabajo de cada disparo y, posteriormente, unos 600 nA cuando el micro está en reposo el resto del tiempo. No hay pues interruptor de encendi-do/apagado.El disparo en el módulo flash Fuji se hace por un contacto físico, cerrado en el momento

El modo manual permite el disparo inmediato en el primer disparo recibido.El modo pseudo TTL permite evitar la dificul-tad de que el número de pre-disparos emiti-dos por una cámara de fotos depende del mo-delo y hasta puede variar entre dos fotos. Por este motivo, el programa espera una duración fija de unos 100 ms después del primer pre-disparo, antes de tratar de detectar el disparo

útil. El LED D1 se enciende cuando el micro “detec-ta” un pre-disparo, pero aún no ha detectado el disparo efectivo después de los 100 ms de espera.La luz aportada por este flash esclavo no está inte-grada en la medida hecha por la cámara de fotos y se añade a la del flash princi-pal. Por este motivo, este modo se llama pseudo TTL. Podríamos contem-plar un funcionamiento puramente TTL o, por lo menos, permitir un ajuste de potencia. El problema

es el de conseguir un transistor muy específi-co, del tipo 25AAJ8 u otro, difícil de encontrar.

(100584)

Enlaces en Internet[1] http://fr.wikipedia.org/wiki/

Flash_photographique

[2] www.elektor.es/100584

oportuno por el obturador de la cámara. Para nuestro uso, deberemos insertar en su lugar un tiristor MCR100-8 con una resistencia de 1 kΩ en serie con el disparador. Podemos mon-tar estos dos componentes directamente so-bre el módulo Fuji.Existe un número importante de placas elec-trónicas para flash en las cámaras desechables que se parecen mucho y deberíamos poder

adaptar, sin problema, el módulo lógico a una de estas placas recuperadas. Tendremos que tener cuidado con las tensiones y pensar en unir las masas de la placa lógica y de la placa flash. En Internet podemos encontrar muchos esquemas eléctricos de estas placas, por lo que será fácil encontrar el que mejor se adapte al que tenemos en nuestra mano.El programa [2] gestiona los modos manual, pseudo TTL (Through The Lens, a través del ob-jetivo) y sleep (dormido).

PIC12F675GP3/MCLR

IC1

GP2

GP5

GP4

GP0

GP1

VDD

VSS

7

1

2

8

4 5

63

D1

R4

1k

R51k

R3

10k

R2

470k

R1

10k

S1

MAN/TTLT2

2N2222

C1

68n

T1

BPW34

+3V3

R6

1k

TH1

100584 - 11

FUJIFLASH

Personal Download for I © Elektor

63414

16 7/8-2011 elektor

Probador universal para componentes de tres terminales

Georges Treels (Francia)

La mayoría de los componentes activos de tres terminales pueden ser probados en estática con la ayuda de un simple ohmetro. Pero, cuando se trata de probar una gran can-tidad de este tipo de componentes, el proce-dimiento se hace rápidamente cansado. Esta observación explica la idea de combinar en un solo equipo la facilidad y la rapidez de pruebas para este tipo de componentes.El instrumento presentado aquí permite pro-bar los transistores bipolares NPN y PNP, los transistores FET o MOSFET de canal N o de canal P, los transistores UJT, los triacs y los tiristores. Las pruebas son no destructivas, cualquiera que sea el componente. Un conec-tor universal permite la prueba de todo tipo de encapsulado, incluso los SMD (en cierta medida). El equipo permite pasar de un tipo de componente a otro en un instante. No utiliza un conmutador multipolo, ya que es demasiado caro y difícil de encontrar.

El segundo contacto de trabajo del relé va a con-trolar la puerta del tiristor o triac. El valor de R18 es un buen compromiso para aceptar diferen-tes corrientes de puerta de este tipo de compo-nentes. La resistencia R17 es importante ya que la corriente de mantenimiento debe ser bas-tante elevada para un triac: 250 mA es un buen valor de compromiso. El LED D6 nos informa del buen estado del componente pero, atención: la prueba debe ser validada con un corte breve de alimentación para poder reinicializar el triac.En la página de Internet de este artículo [1], encontraremos los ficheros CAD (placa y panel frontal) del autor, así como fotos de su realiza-ción. En su prototipo, los LED y el pulsador «Test» están cableados por la cara de cobre de la placa. Los seis conectores hembra para los compo-nentes a probar provienen de la recuperación de otros montajes, pero en el mercado existen numerosas referencias disponibles (con paso estándar). Las pinzas de cocodrilo del cable de medida deben ser tan pequeñas como sea posi-ble para las pruebas de los componentes SMD.

(100942)

Relés en Internet[1] www.elektor.es/100942

He aquí cómo realizar un instrumento poliva-lente a un precio irrisorio.IC1 es un cuádruple interruptor CMOS 4066 que nos va a permitir la conmutación de los transistores bipolares y de los FET. Los LED D1 a D4 nos informan sobre el estado del compo-nente bajo prueba, por medio de una pulsa-ción sobre el botón “Test” («Prueba»).El 4066 solo acepta trabajar con unos pocos miliamperios, que no son suficientes para otros componentes a probar, de aquí la utili-dad del relé RE1. Este relé de 12 V dispone de dos contactos trabajo-reposo. El primero ali-menta el circuito de prueba del transistor UJT, mientras que el segundo alimenta el circuito de prueba de los triacs y tiristores.Numerosas pruebas han mostrado que, para probar los transistores UJT, es mejor hacerlo en funcional o dinámica, con la ayuda de un oscilador de relajación. La red R11/C1 fija la frecuencia del oscilador alrededor de 2 Hz. Sobre el terminal B1 del UJT tenemos un bonito diente de sierra, poco interesante aquí. En cambio, el terminal B2 proporciona bellos pulsos, pero muy cortos. IC2, cableado como monoestable, va a alargar estos pulsos con el fin de que sean bien visibles en el LED D5.

T1

NPN

R3

620R

R2

100k

R110k

D1

IC1.A13

1

2R6

620R

R4

100k

R510k

D2

IC1.C6

9

8

T2

PNP

R8

620R

R7

100k

D3

IC1.B5

3

4T3

N FET

R10

620R

R9

100k

D4

IC1.D12

10

11

T4

P FET

S1

TEST

RE1

T5

UJT

R11

100k

C1

4u7

R12

1k

R13

100R

555

IC2DIS

THR

OUT

TR

CV

2

7

6

4

R

3

5

8

1

C3

4u7

R15

47k

C2

100n

R14

620R

D5

+12V

TH1

THYRISTOR

TRI1

TRIAC

R18150R

R17

47R R16

620R

D6

C4

1000u

C5

100n

IC114

7

100942 - 11

IC1 = 4066

Personal Download for I © Elektor

63414

¡Los Microcontroladores de 8 bit Más Conocidos!El Mejor Soporte al Cliente.

Mem

oryAnalog

Digital Signal

ControllersMicrocontrollers

El nombre y el logo de Microchip, el logo de Microchip, MPLAB y PIC son marcas registradas de Microchip Technology Incorporated en EE.UU. y en otros países. Las restantes marcas pertenecen a sus respectivas compañías. © 2010, Microchip Technology Incorporated. Todos los Derechos Reservados. ME249B-Spa/07.10

Now&Tomorrow...

Now&Tomorrow...

Now&Tomorrow...

Now&Tomorrow...

Now&Tomorrow...

Now&Tomorrow...

Now&Tomorrow...

Now&Tomorrow...

Now&Tomorrow...YOU

www.microchip.com/8bit

Soporte 24/7•SóloMicrochipofrecesoporte total24/7

•Mayorequipodesoportede IngenierosdeAplicacióndeCampo

•Mayorequipodesoportede IngenierosdeAplicaciónparael Cliente

•MayorSoportealaFormación mediantelosCentrosRegionales deFormación(RTC)

La familia de microcontroladores de 8 bit más conocida del mundo cuenta con el mejor soporte al cliente y el plazo medio de entrega más corto del mercado.

Conmásde400versionesdiferentes,hayunmicrocontroladorPIC®de8bitparacadadiseño.Lafamiliade8bitdeMicrochipincluyeahoramicrocontroladoresconelformatomásreducido,periféricosexclusivosyunrendimientodehasta16MIPS.

Lacompatibilidaddepatillasydecódigoaseguraunasencillamigraciónparatodalafamiliade8bitparadiseñosdehasta16bityelIDEMPLAB®deMicrochip,totalmentegratuito,ofrecesoporteaTODOSlosmicrocontroladoresde8,16y32bitdeMicrochip,¡desde6hasta100patillas!

Conmásde7.000MillonesdemicrocontroladoresPICsuministradosentodoelmundoyunaumentodelainversióneneldesarrollodeproductosyelsoportealcliente,puedeconfiarenqueMicrochipestarálistoparaatenderle,especialmenteenmomentosdifíciles.

ElsoportecompletoempiezaconelSelectorAvanzadodeDispositivosdeMicrochip(MicrochipAdvancedPartSelector,MAPS)yseextiendeportodoelciclodediseñoconherramientasdedesarrollogratuitasodebajocoste,formaciónon-lineyregional,asícomosoportetécnico24/7.

MicrochipeselúnicosuministradorenofrecerUSB,LCD,CAN,EthernetysensadoTáctilCapacitivoalmercadode8bit.

¡Para el mejor soporte y disponibilidad de producto, piense en Microchip!

Personal Download for I © Elektor

63414

18 7/8-2011 elektor

Alimentación flotantepara equipo eléctrico de panel

Georges Treels (Francia)

Hoy día, encontramos en el mercado numero-sos voltímetros digitales a precio interesante. Además de una gran impedancia de entrada, presentan las ventajas de ser precisos, poliva-lentes, poco voluminosos y bastante «elegan-tes”. La otra cara es que, generalmente, exis-ten en dos versiones:

•   La “no muy cara” que necesita la separa-ción galvánica de la tensión de alimenta-ción y de la tensión a medir.

•   La “más cara” (¡cuenta con el doble!) que acepta una masa común.

Si se considera el bajo consumo de estos módulos (alrededor del mA), es sencillo y

IC1.B3 41

IC1.C5 61

IC1.D9 81

IC1.A1 21

IC1.E1110 1

IC1.F1312 1

R1100k

C1

1n

D4

D2D1

D3

C2

1u

C3

1u

+10V...+15VC7

100n

C5

100n

C4

4u725V

LM78L08IC2

C6

100n1N4148

4x

14

7

IC1 = 40106

110402 - 11

rentable el pensar en un pequeño circuito que nos permita el aislamiento galvánico

de este tipo de material. IC1 es un séxtuple disparador (“trigger”) inversor CMOS, del

Pelacables de bajo costeLuc Lemmens (Laboratorio de Elektor)

Hay muchos métodos y herramientas para eli-minar el aislante de un cable eléctrico. Algu-nos utilizan sus dientes, pueden servir tam-bién pelacables de todas clases y medidas, tijeras, alicates de corte o un cuchillo fuerte, pero con cables finos la mayoría de las herra-mientas fallan: Basta con estirar un poco el aislamiento o dañar el núcleo de metal para tener que acortar el cable y tener que volver a intentarlo. Tanto la pregunta como la res-puesta a este problema vinieron de un sitio inesperado: en el mundo de las casas de muñecas o miniaturas se utilizan bombillas minúsculas con cables flexibles muy finos. El suministrador de esta iluminación vende también una ‘herramienta de pelar’, ¡que en el mundo de la electrónica se llama simple-mente ‘pinza de cocodrilo’!En las prácticas del laboratorio las utiliza-mos como pinzas de prueba, o como pinzas de la denominada ‘tercera mano’. También se venden unos juegos de cables de prueba muy baratos que tienen a ambos extremos una pinza de cocodrilo. Estos parecen ser perfectamente aptos sin ninguna adaptación para eliminar el aislante sin ningún esfuerzo y sin dañar al hilo conductor. En este caso se

directamente para ver si esta herramienta es apta para otro tipo de aislamiento problemá-tico. Los cables planos son también victimas agradecidas y dóciles, los hilos individuales se pelan correctamente en un pispás casi sin ningún esfuerzo. En algunos clips de pilas de 9V los cables de conexión son bastante rígi-dos y el aislante es grueso en comparación con el hilo – a menudo tampoco es la tarea favorita de los pelacables normales – y tam-bién aquí la pinza de cocodrilo hace un tra-bajo excelente. Aunque no ha sido diseñada para esta labor, ¡cumple perfectamente con su cometido como pelacables!

(110283)

cumple además que las versiones más bara-tas son las mejores, la elasticidad tiene que ser preferiblemente la mínima posible. Sí es importante que los dientes encajen bien en el extremo, ya que si no la pinza no es apta para cables finos. Su uso requiere un poco de habilidad: coloca bien el cable en la pinza y presiona con la fuerza justa sobre el dedo pulgar y el dedo índice sobre la boca hasta ‘cortar’ el aislante para después poder qui-tarlo. Hay que experimentar un poco depen-diendo del tipo de cable y el grosor del ais-lante, pero todo el mundo con un poco de ‘tacto’ coge rápidamente el tranquillo. Por supuesto que empezamos a experimentar

Personal Download for I © Elektor

63414

19elektor 7/8-2011

tipo 40106. Su primer elemento, IC1.A, está cableado como oscilador (gracias a R1 y C1), a una frecuencia de unos 10 kHz. IC1.B invierte la señal para, después, atacar los elementos IC1.C - IC1.D e IC1.E - IC1.F, en oposición de fase. La señal es tomada a través de C2 y C3, rectificada por un puente de diodos, filtrada

por C4 y C5, y estabilizada por IC2 y C5 a un valor de 8 V.La tensión de alimentación, desacoplada por C7, es poco crítica y está entre 10 y 15 V.Difícil de hacer de forma más simple… El conjunto del montaje se sitúa sobre una placa de simple cara de 24,3 x 27,94 mm, fácil de instalar en la

parte trasera de la mayoría de los módulos visua-lizadores. La documentación de serigrafía y la placa de circuito impreso está disponible en [1].

(110402)

Enlaces en Internet[1] www.elektor.es/110402

Seguidor de emisor AudionBurkhard Kainka (Alemania)

Un Audion de onda corta con tan sólo dos transistores y una batería de 1,5 V; esta es la forma ideal para iniciarse en la transmisión de onda corta. El circuito puede conectarse, por ejemplo, a un altavoz activo de PC y ofrece una potencia de recepción bastante convincente.Una peculiaridad es que el propio circuito del Audion funciona con el transistor PNP BC558C

modo que deje de oscilar (justo después de la aplicación como oscilador), de CW (telegrafía y transferencia de pulsos) y de SSB.El acoplamiento y amplificación de la señal BF se hace mediante un segundo transistor. La señal en el conector de salida K1 tiene un nivel adecuado a la línea y una impedancia de salida de aproximadamente 1 kΩ.Pueden utilizarse alternativamente ambas conexiones de la antena, ANT1 y ANT2.

en el modo colector (seguidor de emisor). Su principio de funcionamiento radica en la capacidad interna base-emisor del transis-tor, de unos pocos picofaradios. Debido a ellos aparece un divisor de tensión capaci-tivo, de modo que el transistor funciona como oscilador de tres puntos. Sólo necesita una pequeña corriente de emisor para empezar a oscilar. Mediante el potenciómetro podemos ajustar el Audion para recepción de AM, de

Interruptor crepuscularTheo de Wijs (Tailandia)

En cualquier ferretería se puede comprar un interruptor crepuscular para una lámpara exterior, pero un aficionado a la electrónica lo construiría él mismo con algunos compo-nentes de los que dispusiera.El circuito que presentamos aquí no requiere de ningún transformador de red, utiliza una resistencia capacitiva en serie en forma de C1 conectada directamente con la tensión de red a través de la resistencia R1 que limita la corriente al conectarse. D1...D4 rectifican la tensión alterna para que D5 y C2 se encar-guen después de la limitación de la tensión y el alisamiento respectivamente. La detec-ción luminosa se hace mediante fotorresis-tencia (R3). La resistencia de la fotorresisten-cia es alta si hay poca o ninguna luz. Entonces la tensión en la base de T1 está a nivel bajo y el transistor bloquea. En ese caso se abre T2 a través de R4 y se mantiene accionado el relé, de modo que la lámpara exterior esté encen-dida. Si la fotorresistencia recibe suficiente luz, la tensión en la base de T1 sube y esta se abre. T2 deja de recibir corriente de base y el

tensión de red. Los valores de los componen-tes no son muy críticos. Asegúrate de optar por un relé Re1 con una corriente de acciona-miento pequeña (como máximo unas decenas de miliamperios). El autor utilizó en el proto-tipo un JJM1-12V de Panasonic.

(110063)

relé se desconecta. Puedes ajustar la sensibi-lidad con el potenciómetro. C4 hace que haya un poco de histéresis, de modo que el circuito no conmute de forma intermitente alrededor del punto de conmutación.Hay que construir todo el circuito en una caja aislada debido a la conexión directa con la

K1

R1220R0W5

C1

470n400V

D1

D2

D3

D4

D5

12V1W

C2

100u

R3

LDR

P1

25k

R22k2

T1

BC547

T2

BC547

R5

33R

R4

10k

C4

47u

25V

16V

RE1D6

1N4148

D1...D4 = 1N4148

110063 - 11

Personal Download for I © Elektor

63414

20 7/8-2011 elektor

E s impor t ante una buena toma de tie -rra en el circuito. Entonces bastará con un pequeño cable de antena de poco más de unos metros en Ant1 para poder escu-char numerosas emisoras de radio. Para la recepción en larga distancia es preferible utilizar una antena externa, por ejemplo un cable de unos diez metros de largo comple-tamente estirado. En tal caso debemos uti-lizar la conexión Ant2. Esta entrada tiene un acoplamiento muy escaso para evi-tar que el resonador se amortigüe dema-siado y anule la realimentación. En resu-men: cuanto más larga sea la antena, más pequeño podremos poner el condensador de acoplamiento C1.

(110199)

C2

100p

C1

1...30p

ANT2ANT1

T1

BC558C

R1

1k

R4

1k

L1

C3

47p

C4

10n

C5

100n

C6

100n

R210k

P1

10kR3

100k

T2

BC548C

BT1

1V5

K1

23

7

110199 - 11

La Caza del LED

Alexander, Friedrich y Klaus diez Hagen (Alemania)

La caza del LED es un juego que dispara uno de ocho LEDs al azar, los LEDs están coloca-dos en fila. Si el LED del extremo derecho o izquierdo se enciende y se pulsa al mismo tiempo el botón correspondiente (L o R), se genera un sonido. A continuación, los LEDs se encienden individualmente, en secuencia y, después, comienzan a ‘saltar’ más rápido. Las pulsaciones incorrectas del botón “Left” o “Right” hacen que se genere un sonido bajo. El LED ‘erróneo’ parpadea rápidamente y el salto del LED se vuelve a hacer más lento para una nueva ronda. Si no se pulsa ningún botón durante 60 segundos, el juego de ‘La Caza del LED’ se apaga automáticamente. El vídeo que

cuado. Los cuatro diodos D9–D12 son nece-sarios para evitar falsos reinicios si se usa un zumbador con un buen nivel de inductan-cia. También podemos considerar usar un pequeño altavoz de alta impedancia (> 32 Ω) en vez del zumbador.El juego de ‘La caza del LED’ usa el tempo-rizador de 16 bites del ATtiny para generar un intervalo de espera que activa el modo ‘reposo’ del micro, con una corriente de reposo de sólo 200 nA. El proyecto fue dis-eñado usando C en AVRstudio4, LabCenter

muestra el uso del juego y las reglas, que son explicadas por uno de los autores, está dis-ponible en Youtube [1].La electrónica de ‘La Caza del LED’ consiste en un microcontrolador ATtiny2313, un zumba-dor, dos botones (L y R), ocho LEDs y 3 pilas de litio de 3 V tipo botón. K1 es el conector debugWIRE que, según Atmel, permite “la depuración completa del producto acabado (en combinación con AVR Studio)”. El zum-bador es controlado en una configuración en puente para conseguir un nivel de sonido ade-

(MOSI/DI/SDA/PCINT5)PB5

(UCSK/SCL/PCINT7)PB7(MISO/DO/PCINT6)PB6

PD2(CKOUT/XCK/INT

(AIN1/PCINT1)PB1(AIN0/PCINT0)PB0

(OC1A/PCINT3)PB3(OC1B/PCINT4)PB4

(OC0A/PCINT2)PB2

(RESET\dW)PA2

PD5(OCB0/T1)

ATTINY2313

PA1(XTAL2)PA0(XTAL1)

PD3(INT1)

PD6(ICP)

PD0(RXD)PD1(TXD)

PD4(T0)

IC1VCC

GND10

20

1918

1312

14151617

11987

1

236

45

D1 D2 D3 D4 D5 D6 D7 D8

BT1

3V

1

2

K1*

3

S2

R

S1

L

CR2430

D10D9

D12D11

BZ1

+VCC

110336 - 11

D9...D12 = 1N4148

Personal Download for I © Elektor

63414

21elektor 7/8-2011

Proteus VSM y Ares para la PCB. El programa del proyecto está disponible para su descarga gratuita en [2].La PCB de los autores, presentada aquí, ha sido diseñada en una sola cara para reducir el coste. El archivo de diseño de la PCB está en la página Web de Elektor para el proyecto, en [2]. Se ha diseñado una tapa para la parte oculta de la placa, para impedir que los dedos húmedos (¡sudorosos!), puedan causar fal-sos contactos. Podemos conseguir la tapa ya

fabricada en www.shapeways.com, usando el archivo gratuito de SketchUp Google, que también podemos encontrar en el fichero 110336-1.zip en [2].Para el entorno de ‘campanas & silbidos’, los autores planean ampliar el juego con un intérprete RingTone (RTTTL). Esto permitirá reproducir tintineos agradables para, por ejemplo, celebrar la pulsación del botón cor-recto o aumentar los latidos por minuto como cuando el jugador alcanza niveles de juego

más altos. Lamentablemente el programa necesario, más grande, supera los 2 KB de la capacidad de la memoria flash del ATtiny2313 y necesitaremos un ATtiny4313 para actual-izar el juego usando la misma PCB.

(110336)

[1] www.youtube.com/watch?v=P2D1VtV8NhY

[2] www.elektor.es/110336

Detector de nivel de aguaAndré Thiriot (Francia)

Para vigilar el llenado de una bañera, de una cisterna o de una piscina, o incluso para seña-lar el desbordamiento de un pozo negro, pre-sentamos aquí un detector de nivel de agua muy simple, construido alrededor de una cuá-druple puerta NAND CMOS CD4011.

cionamiento del oscilador en ausencia de agua. En caso de presencia de agua entre los electrodos e+ y e-, la entrada de IC1.A es lle-vada a un nivel lógico alto, lo que valida el funcionamiento del oscilador. A la señal en salida de la puerta IC1.B se le da forma por medio de IC1.C, para obtener una señal rec-

Las puertas IC1.A e IC1.B están cableadas como multivibrador aestable. La frecuencia del oscilador viene determinada por los com-ponentes C1, R2 y el potenciómetro P1.La resistencia R1 fija la tensión de reposo de la entrada de la puerta IC1.A a bajo nivel lógico, lo que bloquea, por defecto, el fun-

Fuente de corriente ajustable hasta 0 mAJürgen Okroy (Alemania)

La solución más simple para diseñar una fuente de corriente constante ajustable es utilizar reguladores de ten-sión conectados según el circuito ade-cuado, como por ejemplo el que pode-mos encontrar en la hoja de datos del LM317. Sin embargo, la corriente no puede hacerse del todo cero. En el circuito aquí presentado sí es posible hacerlo.Utilizando dos reguladores de ten-sión fijos con distintas tensiones de salida logramos que el operacional trabaje en todo su margen de funcio-namiento. El primer regulador de ten-sión suministra 15 V para servir de ali-mentación al operacional, y a la vez como referencia para el divisor de ten-sión (R3/P1/R4). Mediante P1 ajusta-mos el valor deseado, que se encuen-tra en la entrada no inversora del ope-racional (IC3/pin3). El operacional regula con T1 la corriente a la salida (del colector de T1 a masa), de modo que en el emisor de T1 y por lo tanto también en la otra entrada del operacional se tiene la misma tensión (valor actual) según indica el terminal móvil de

sión formado por R3/P1/R4. Teniendo la mínima tensión en el terminal móvil de P1 fluirá la máxima corriente constante, que a su vez depende del valor de la resistencia R2. El circuito se ha dimensionado de modo que la corriente constante con R2 = 100 Ω sea ajustable entre 0 y 100 mA, y con R2 = 330 Ω entre 0 y 30 mA.Siendo meticulosos con los cálcu-los haría falta un rango de tensión en el terminal móvil de P1 de 2 V (corriente máxima con 10 V en R2) a 12 V (corriente mínima con 0 V en R2). Ya que el valor de corriente se ajusta considerando la tolerancia del ±10% en el potenciómetro, el rango de tensión ajustable en P1 se ha dimensionado ligeramente mayor, con 1k5 para R3 y R4 (calculando de 1,73 V a 13,27 V).Ya qu e s e t r at a d e ma nte n e r la corriente constante y no la ten-

sión, lógicamente en la salida ésta cambia en función de la corriente. Según se eleva la corriente la caída de tensión en R2 (I x R2) es mayor, siendo correspondientemente menor la tensión a la salida.

(100935)

P1 (valor de referencia). La condición para esto es, lógicamente, que a la salida esté conectada una carga por la cual pueda fluir corriente a masa.El rango de ajuste de la tensión en P1 está determinado por los valores del divisor de ten-

LM7815IC1

LM7812IC2

C1

100u30V

+20V

R3

1k5

R4

1k5

P110k log

2

36

IC37

4

CA3140

BC547B

R1510R

T1

R2

+Ub

A

C

B

100935 - 11

*

Personal Download for I © Elektor

63414

22 7/8-2011 elektor

tangular. La puerta IC1.D invierte la señal para que el transistor T1 esté bloqueado en ausencia de agua, lo que evita el paso de corriente en el primario del transforma-dor TR1 cuando el sistema está en reposo. El transformador TR1 (el autor utilizó un modelo de 12 V/230 V y 1,5 VA), montado como elevador de tensión (es decir, el lado de 12 V sobre T1), realiza una amplificación de la señal presente sobre el drenador de T1. El secundario del transformador ataca el transductor piezoeléctrico BZ1 (timbre pie-zoeléctrico, por ejemplo, Murata, 28 indica el diámetro) que restituye la señal acústica.Con el fin de optimizar el rendimiento acús-tico del conjunto, procuraremos, ajustando P1, ajustar la frecuencia del oscilador a la fre-cuencia de resonancia del transductor pie-zoeléctrico. Este ajuste debe hacerse “a oído”.El montaje electrónico y las pilas pueden ser encapsuladas en una caja de recuperación (por ejemplo una caja de forma ovoide que se encuentra en los huevos sorpresa gigantes de chocolate). Los electrodos, constituidos

Enlaces en Internet[1] www.elektor.es/110037

por hilos simples rígidos de cobre, atraviesan la caja y la impermeabilidad se garantiza con resina epoxi.

(110037)

IC1.A

e+

e- 12

3&

IC1.B56

4&

IC1.C89

10&

IC1.D1213

11&

R2

1k

P147k

C1

10n

T1

IRF530BT1

4x 1V5 IC114

7R1

10M

TR1

1VA5

Bz1

PKM28

IC114

7

*IC1 = CD4011

110037 - 11

S1

Generador de diente de sierra NPNBurkhard Kainka (Alemania)

En los libros de texto antiguos quizá se recuerde cómo realizar un oscilador de diente de sierra mediante una lámpara de neón y un condensador. El circuito mostrado en la figura 1 se trata de sencillo generador de diente de sierra que funciona mediante el mismo principio, aunque con un transis-tor NPN en lugar de una lámpara de neón, y a una tensión mucho más baja. Cualquiera puede probarlo, es muy simple. ¿Pero cómo?El autor aclara el funcionamiento del circuito en adelante:El transistor NPN funciona de forma inversa (el emisor es positivo respecto del colector), en este caso tenemos una curva caracterís-tica negativa entre emisor y colector. El diodo base-emisor produce a 9 V el llamado “efecto avalancha”. Además, los portadores de carga atraviesan la capa de barrera tan rápido que son capaces de liberar a otros portadores de la malla. El número de portadores de carga se incrementa como una avalancha, y de ahí la corriente generada. Este efecto es exacta-mente el mismo que el de un diodo Zener de 9 V. No obstante, la resistencia interna de dicho diodo sigue siendo positiva.En este caso se trata de un transistor fun-cionando a la inversa. El emisor y el colector intercambian los roles, especialmente se debe

a la estructura simétrica del transistor que también pueda funcionar a la inversa. Pode-mos medir una ganancia en corriente de 3 a 10. El funcionamiento del transistor se basa en que los portadores de carga atraviesan la fina base y de dirigen a la capa de barrera. Y ahora viene lo bueno: es en esta capa donde se da de verdad el efecto avalancha. Todavía hay portadores de carga suficientes para liberar a otros portadores de la red, o sea, una ava-lancha al cuadrado. Con que la avalancha ocu-rra una vez ya es suficiente para que aparezca una pequeña tensión y ésta siga en marcha. La corriente de colector amplifica el efecto ava-lancha, obteniendo al final una curva caracte-rística negativa.La corriente de descarga es lo suficiente-mente fuerte como para hacer funcionar un LED (véase la figura 2). Sin embargo, esto requiere siempre una tensión mayor de 9 V. El circuito funciona muy bien con dos pilas de 9 V descargadas casi por completo. El LED par-padea durante bastante tiempo y exprime al máximo cada gota de energía de la batería. La frecuencia del parpadeo se incrementa según disminuye la tensión de batería. La resistencia de carga se ha colocado entre las baterías por motivos puramente mecánicos, para simplifi-car al máximo la estructura.

(110195)

T1

BC547B

C1

100n

R1

10k

+12V

110195 - 11

R1

27k

C1

100u

T1

BC547B

D1

BT1

9V

BT2

9V

110195 - 12

25V

1

2

Personal Download for I © Elektor

63414

Naamloos-3 1 25-05-11 08:50

Personal Download for I © Elektor

63414

24 7/8-2011 elektor

Sceptre – Control de una pantalla táctil como ArduinoClemens Valens (Redacción Francia)

En internet encontramos fácilmente, y por poco dinero, pantallas táctiles resistivas de recambio para la consola de juegos Nintendo DS. Durante el diseño del Sceptre, ya se plan-teó la cuestión de utilizarlo con una pantalla táctil y esa es la razón por la que hay un espa-cio entre los conectores K6 y K7 (para pasar los hilos de conexión).Se prevén muchas cosas cuando se diseña una placa, pero no todas llegan a realizarse nece-

sariamente. Así, una de las que fueron previs-tas fue una biblioteca para programar el Scep-tre como un Arduino, es decir, con un sketch, un loop y con pines (terminales de entrada-salida) reconfigurables. Entonces, ¿por qué no controlar la pantalla táctil como un Arduino y así matar dos pájaros de un tiro? Eso es preci-samente lo que hacemos aquí.Una pantalla táctil resistiva, básicamente, sólo son dos potenciómetros X e Y, cuyas posi-ciones de los cursores son determinadas por

res A/D AD1.4 a AD1.7), Conectar la pantalla al Sceptre no es difícil. Podemos utilizar el pequeño conector especial de Nintendo DS (que podemos encontrar en Internet), pero también es posible soldar los hilos directa-mente sobre el cable flexible de la pantalla, después de haber rascado un poco el barniz, allí donde el cable flexible es más ancho.Ahora pasemos a la programación del modo Arduino.Para comenzar, renombramos los puertos uti-lizables del Sceptre para obtener los pines, 45

en total. Luego, hemos construido un cuadro con las correspondencias entre los termina-les y sus funciones posibles, lo que nos per-mite saber si un cierto terminal (pin) es uti-lizable para una cierta función. Por ejemplo, el PIN4 es utilizable como entrada digital, salida digital, salida analógica (¡una verda-dera!) y entrada analógica. Ahora, en el pro-grama, es posible declarar una salida digital con pinMode (PIN37, OUTPUT) y, si PIN37 puede realizar ese papel, será una salida digi-

el lugar donde se pulsa sobre la pantalla. Se alimentan los potenciómetros, uno tras otro, y se miden las tensiones en sus cursores. Dos medidas, X e Y, bastan para localizar la posi-ción (x, y) pulsada. En realidad, cada poten-ciómetro tiene dos cursores, que son los con-tactos de otro potenciómetro. Cuando damos tensión al potenciómetro X, medimos la ten-sión sobre uno de los dos contactos del poten-ciómetro Y, y viceversa. Los puertos que con-trolan los potenciómetros X e Y cambian, pues, constantemente de función cuando se

controla una pantalla táctil. En un momento dado son salidas configuradas para aplicar una tensión sobre el potenciómetro y, un momento después, son entradas analógicas configuradas para medir una tensión.Para controlar una pantalla táctil resistiva con solamente cuatro puertos, hacen falta pues puertos reconfigurables. Sobre el Sceptre, para controlar una pantalla táctil, se ha pre-visto utilizar los puertos P0.13, P0.15, P0.21 y P0.22 (que también ofrecen los converso-

P0.19/MAT1.2/MOSI1/CAP1.2P0.18/CAP1.3/MISO1/MAT1.3

P0.16/EINT0/MAT0.2/CAP0.2

P0.22/AD1.7/CAP0.0/MAT0.0

P0.28/AD0.1/CAP0.2/MAT0.2P0.29/AD0.2/CAP0.3/MAT0.3 P0.20/MAT1.3/SSEL1/EINT3

P0.17/CAP1.2/SCK1/MAT1.2

P0.30/AD0.3/EINT3/CAP0.0

P0.13/DTR1/MAT1.1/AD1.4P0.12/DSR1/MAT1.0/AD1.3

P0.10/RTS1/CAP1.0/AD1.2

P0.21/PWM5/AD1.6/CAP1.3

P0.5/MISO0/MAT0.1/AD0.7P0.6/MOSI0/CAP0.2/AD1.0

P0.11/CTS1/CAP1.1/SCL1

P0.3/SDA0/MAT0.0/EINT1P0.4/SCK0/CAP0.1/AD0.6

P0.15/RI1/EINT2/AD1.5

P0.14/DCD1/EINT1/SDA1

P0.7/SSEL0/PWM2/EINT2 P0.9/RXD1/PWM6/EINT3P0.8/TXD1/PWM4/AD1.1

P0.31/UP_LED/CONNECT

P0.1/RXD0/PWM3/EINT0

P0.25/AD0.4/AOUT

P0.2/SCL0/CAP0.0

P1.19/TRACEPKT3

P1.20/TRACESYNC

P1.21/PIPESTAT0

P1.22/PIPESTAT1

P1.23/PIPESTAT2

P1.18/TRACEPKT2

P1.17/TRACEPKT1

P1.16/TRACEPKT0

P0.0/TXD0/PWM1

P1.24/TRACECLK

P1.25/EXTIN0

P0.23/VBUS

P1.31/TRST

P1.26/RTCK

P1.27/TDOP1.28/TDI

P1.29/TCK

P1.30/TMSSCEPTRE

NintendoDS touchpad

PWRSWCPWRSWH

RESET

MOD1

DPOSDNEG

VREF

VBAT

GND

+5V

GND

3V3

GND

3V3

GND

55

52

5453

515049484746454443

4241403938373635343332313029

5657

1011121314

1516171819202122232425262728

5960

12

43

56

87

9

GNDDPOSDNEG5VPIN1PIN2PIN3PIN4PIN5PIN6PIN73V3PIN8PIN9

GNDPIN10PIN11PIN12PIN13PIN14PIN15PIN16PIN17PIN18PIN19PIN20PIN21PIN22 PIN23

PIN24PIN25PIN26PIN27PIN28PIN29PIN30

RESET

VREF3V3

GND

PIN31PIN32PIN33PIN34PIN35PIN36

PIN37PIN38PIN39PIN40PIN41

PIN42PIN43PIN44

PIN45

D1

R1150R

MOD2

Y1X1Y0X0

100609 - 11

Personal Download for I © Elektor

63414

25elektor 7/8-2011

tal. A continuación, la función digita-lWrite (PIN37, HIGH) permite poner un nivel alto en PIN37, mientras que la función digitalWrite (PIN37, LOW) le aplica un nivel bajo. Para la parte analógica, como en Arduino, un terminal se convierte en entrada (salida) analógica (si es posible, por supuesto) en cuanto se la va a leer (o escribir).Controlar ahora la pantalla táctil es sencillo.Después, repita estas instrucciones pero per-mutando PIN1 con PIN2 y PIN29 con PIN33, para obtener la otra parte de la coordenada.

Hay que señalar incluso leyendo solo una única entrada analógica (Y1), el otro termi-nal del potenciómetro (Y0) debe estar des-conectado la pantalla para no influenciar en la medida. Por este motivo se ha declarado como entrada digital.Las salidas analógicas para el Arduino, es decir, las salidas MLI de 490 Hz, también han sido implementadas.Para comunicarse con un ordenador como Arduino, disponemos de las funciones Serial _ begin , Serial _ write y

Serial _ write _ int. La diferencia de anotación con relación a Arduino es debida al hecho de que la biblioteca Arduino para el Sceptre está programada en C y no en C ++.Para simular un sketch Arduino, se hace pri-mero llamada a la función setup , desde main, después main llamará periódicamente a la función loop desde un bucle sin fin. Ins-peccionaremos el fichero sketch.c [1] para ver cómo de próximo está el resultado de un verdadero sketch Arduino.Los códigos fuentes (app _ touchpad) y la biblioteca sceptre actualizada están disponi-bles en [1].

(100609)

Enlaces en internet[1] www.elektor.es/100609

pinMode(PIN2,INPUT); // Y0 entrada digital

pinMode(PIN1,OUTPUT); // X0 salida digital

pinMode(PIN29,OUTPUT); // X1 salida digital

digitalWrite(PIN29,HIGH); // X1 a nivel alto

digitalWrite(PIN1,LOW); // X0 a nivel bajo

valeur = analogRead (PIN33); // Leer la tensión en el “curseur “ Y1

Convertidor DC/DC con el LT1376

Albert Bitzer (Alemania)

Si estamos en contra de tener que utilizar disi-padores, lo mejor es servirnos un regulador con tensión de salida ajustable. El converti-dor que presentamos aquí admite tensiones de entrada de 7,5 V a 25 V y suministra una corriente de salida de hasta 1,5 A. En su ten-sión de salida mínima de 3,3 V también fun-ciona con hasta 5 V en la entrada.El circuito se ha realizado con el integrado LT1376 y se basa principalmente en el ejem-plo de aplicación típico de la hoja de datos de Linear Technology [1]. El valor mínimo y la corriente máxima que soporta la bobina de choque L1 determinan la corriente de salida máxima necesaria. Hasta 0,6 A basta que sea de 5 μH, hasta 1 A debería ser como mínimo de 10 μH, y para una corriente máxima de 1,5 A son necesarios por lo menos 20 μH. En el circuito, L1 se ha dimensionado con 22 μH.La tensión de salida máxima posible depende de la que dispongamos a la entrada, y de esta depende la corriente de salida, que puede consultarse en el datasheet. El margen de

de carga ha de ser al menos el doble que la deseada a la salida y la resistencia en corriente continua lo más baja posible. El condensador electrolítico ha de tener una baja ESR.Para el montaje del circuito el autor ha dise-ñado una pequeña tarjeta cuyas medidas son 31 mm x 52 mm, la cual permite colocar incluso un portafusibles. Tanto el diseño de la placa como el archivo del esquema pue-den descargarse gratuitamente [2] en for-mato Eagle.

(110286)

[1] www.linear.com/product/LT1376 (Hoja de datos)

[2] www.elektor.es/110286 (Descargas)

ajuste de P1 en cada caso es suficientemente amplio como para poder fijarla entre 3,3 V y 14,5 V. Con los valores dados en el circuito se obtienen los siguientes valores típicos:

UIN UOUT IOUT (max.)

5 V 3,3 V 1,5 A

8 – 10 V 5 V 1,4 A

12 – 18 V 10 V 1,3 A

La corriente de salida máxima también depende de la calidad de la bobina L1 y del condensador electrolítico C5. Aparte de la inductancia L1 también hay que tener en cuenta su valor en corriente continua, así como el de su resistencia. La corriente

LT1376

BOOST

IC1BIAS

SHDN

VIN VSW

GNDFB

VC

2

1

6

4

5 7

3

8

R2

5k

R3

1k

R1

3k3

D2

SS25

D3SS25

C2

100n

C3

100n

C8

100u40V

C5

470u25V

F1

1A

C1

100nL1

22uH

JP1

123

D1

C4

100n

K12

1 +5V

110286 - 11

Personal Download for I © Elektor

63414

26 7/8-2011 elektor

Luz de bicicleta con 24 LED y cero-ICIan Field (Reino Unido)

Las luces de pulsos de LED para ciclistas son muy atractivas y mucho más visibles que la luz estándar, por lo que decidí hacer una pro-visión del resto de elementos que no tenía. El objetivo era usar solo componentes usados y/o reciclados baratos.Con un precio de unos 6 €, el elemento más caro era la luz delantera de 24 LED, con imán y engan-che retráctil. El diac DB3 podía ser menos fácil a encontrar: uno lo conseguí de una bombilla CFL de Philips de 18 vatios. No compre los modelos de menor potencia que podemos encontrar en los modelos de 8 W y 11 W de Philips ya que, nor-malmente, no tienen un diac DB3.El prototipo se construyó, al principio, con un transistor 2SD1266 que, después, fue susti-tuido por el BD433, más común. Se trata de un componente TO126, que debía ser enfriado

adecuadamente. La parte que, con la mayor probabilidad, podía causar dificultad es el núcleo toroide de ferrita. El usado aquí tiene un diámetro interior y externo de 9,16 mm y 17,76 mm, respectivamente, y un grosor de 6,63 mm. Fue recuperado de una placa madre de ordenador. Pregunte en la tienda de ordena-dores más próxima por una vieja placa madre que no funcione, para recuperar componentes.Eléctricamente, el circuito ha sido hecho tan sencillo como ha sido posible - un oscilador de bloqueo estándar. ¡El problema es que tenemos que bobinar 60 vueltas del cable muy delgado en un toroide! El hilo para bobinar fue recupe-rado de un adaptador de tensión de 6 voltios. Realizaremos primero el bobinado de las doce primeras vueltas (con hilo de 0,5 mm/AWG24). El bobinado de las 60 vueltas es más fácil si bobi-namos la mitad en un sentido y la otra mitad en el otro. Así que, cortaremos, aproximadamente, un metro del hilo fino (de 0,1 mm/AWG38) y lo pasamos por el toroide, luego sostenemos los dos extremos y dejamos que el peso del núcleo

32 V, el diac dispara y “vuelca” la carga en los 24 LEDs conectados en paralelo. El diac DB3 lleva los pulsos de 2 A, que son suficientes para controlar los LEDs. El condensador elec-trolítico de 47 μF fue seleccionado de modo empírico para un buen pulso de destello. Aumentando este valor hasta los 100 μF con-seguiríamos unos pulsos realmente intensos pero, ¡por cuánto tiempo!Como una idea posterior, mientras la luz de pulsos es muy visible a otros usuarios de la carretera en zonas bien iluminadas, no es tan buena para ver realmente por donde vamos en vías para bicicletas, lejos de las carreteras y farolas de la calle. La solución obvia es un segundo potenciómetro para la velocidad de destello y un interruptor de conmutación. Así, la unidad puede ser ajustada a una velocidad de destello que no esté lejos de la persisten-cia de visión. Un interruptor que nos permita cambiar a la velocidad de destello máxima hará más fácil circular, incluso en una vía para bicicletas con oscuridad total.Junto con el recordatorio habitual sobre el tipo de homologación y las leyes de circula-ción de algunos países, los lectores deben ser advertidos de que la velocidad de destello más rápida puede irritar (¡hasta confundir!) a los otros conductores y sólo debería ser usada en áreas no iluminadas, lejos de la carretera. También merece la pena indicar que la velo-cidad de destello máxima supone un mayor desgaste sobre los componentes, especial-mente en la batería.

(110164)

encuentre el punto medio del hilo. Sujetamos la primera mitad de hilo (por su punto medio) y bobinamos 30 vuel-tas (sujeta el otro extremo a una batería AA y déjalo colgar por un lado, de manera que no se mezcle con el extremo que estamos bobinando). Cuando hayamos bobinado 30 vuel-tas, liberamos el otro extremo y realizamos las otras 30 vuel-

tas hasta hacer un total de 60.Para el bobinado del colector y de la base, la manera más fácil de evitar que estén en fase ambos bobinados es hacer las 12 primeras vueltas y, después, hacer un lazo y enroscarlo fuertemente hacia atrás, al núcleo, antes de hacer el segundo bloque de 12 vueltas. La toma central es la conexión de +1,5 V de alimenta-ción y, como los dos bobinados son idénticos, cualquier extremo puede ser conectado a la base o al colector (ya que sólo deja en fase al secundario). Si el circuito sólo parpadea unas pocas veces por minuto, invertiremos los ter-minales y nuestra luz de bicicleta ya debe par-padear de forma normal. También podemos girar el potenciómetro de 470 Ω hasta que el parpadeo sea lo suficientemente rápido. La resistencia de 180 Ω debe proteger el transis-tor de una corriente excesivamente baja.En el lado del secundario, los pulsos son rec-tificados por un diodo UF4007 para cargar un condensador electrolítico. Cada vez la tensión del condensador alcanza, aproximadamente,

T1

12T0.5mm

60T

Ø 9.16mm

Ø 17.76mm

h = 6.63mm 0.11mm

12T0.5mm

110164 - 11

BD433

24 x LED

P1

470RFLASHRATE

R1

180R

D1

1N4007

C1

47u35V

DI1

DB3

BT1

1V5

+1V5

Personal Download for I © Elektor

63414

Interfaces salida a relé, mosfet, triac

más información en www.cebek.com [email protected]

toda protección es poca...toda protección es poca...

ás información en www.cebek.com [email protected]

Interfaces optocoplados con aislamiento eléctrico entrada - salida. Permiten señales de control por niveles TTL o CMOS. (Entrada de 3 a 24 V. D.C.). Según modelo con salidas mediante relés, transistores Mosfet o Triacs.

C

M

Y

CM

MY

CY

CMY

K

A4 Interfaces.pdf 01/04/2009 16:37:40

Personal Download for I © Elektor

63414

28 7/8-2011 elektor

Reconstrucción del principio del tren de levitación magnética

G. van Zeijts (Holanda)

Cualquier técnico se preguntará cómo fun-ciona el tren de levitación magnética [1] cuando lea un artículo sobre él. Entonces lle-gará a descubrir que es una construcción bas-tante ingeniosa, que consta de muchos ima-nes y bobinas y un circuito inteligente para que el tren se eleve y se mueva. Sin embargo, el principio fundamental es muy sencillo y consta de bobinas que atraen o repelen metal u otra bobina y para eso desplazan alguna cosa. Me parecía interesante reconstruir este principio. Para la construcción mecánica se ha doblado en redondo un tubo tranparente de nylon (diámetro interno 10 mm) puesto en forma ovalada con una conexión sin apenas junta. Esta forma ovalada tiene una parte colocada hasta un máximo de 30 mm más alta que la otra parte. En el tubo hay una bola con un diámetro de 8 mm, que procede de un roda-miento. En la parte inferior, casi por debajo de todo, se ha colocado la ‘bobina de arranque’ (Holding coil) y muy próxima a ésta las bobi-nas L1...L5 en sucesión.Antes de arrancar, se acciona la bobina de arranque para ‘capturar’ la bola, obteniendo así una posición de arranque bien reproduci-ble. La bobina de arranque se desactiva en el momento que la bola se queda inmóvil y se acciona L1, para que se mueva rápidamente hacia L1. Después se accionan brevemente las bobinas L2...L5 en los momentos ade-cuados, por lo que la bola obtiene cada vez más velocidad y rueda por toda la forma ova-lada. La temporización del encendido y apa-gado de las bobinas determina el resultado. El desafío de todo el conjunto fue la correcta temporización de los accionamientos de las bobinas.El esquema de la electrónica de control y el interfaz es muy sencillo y consta de un tran-sistor NPN Darlington a cada paso entre el puerto paralelo del PC y la bobina. En mi cons-trucción he utilizado una versión de transis-tor donde hay cuatro transistores Darling-ton ubicados en un único encapsulado, en este caso en el número de modelo MP4101. Este ‘transistor de potencia 4 en 1’ aparecía frecuentemente en impresoras matriciales (a todo esto anticuadas), tal y como se tira-ban y siguen tirandose en los puntos lim-pios. Conocidos modelos ‘4 en 1’ son, por

vés de [2]. El firmware se ha provisto de la can-tidad máxima de documentación. Con un sen-cillo interfaz se controlan las bobinas a través del puerto paralelo. Hay que añadir el módulo INPOUTV4.bas al proyecto. Este módulo per-mite utilizar el puerto paralelo en Windows XP para el control externo desde Visual Basic. Hay que colocar el fichero Inpout32.dll en la carpeta C:\Windows\System32.En el momento de iniciarse el programa muestra dos partes:

•   ‘For Operation’ Para el uso normal. Da la opción de elegir con qué cantidad de bobi-nas quieres trabajar.

ejemplo, el STA401A, el STA405A, el MP4101 y el MP4105. Como estos Darlington 4 en 1 requieren pocos componentes adicionales, es fácil trabajar con ellos. Pero sin duda también servirán los estándares Darlington.Todas las bobinas utilizadas (bobinas de relés potentes) tienen una resistencia de 12 ohmios y se utilizan con una tensión de 12 voltios con-tinua. Los LED de indicación funcionan a una tensión de 5V con una resistencia en serie de 270 ohmios y se iluminan en el momento que se acciona la bobina correspondiente.El programa está escrito en Visual Basic 5 y funciona perfectamente bajo Windows XP. Se puede descargar de forma gratuita a tra-

L1 D1 D2

R2

270R

R1

1k5

2 3 4 5 6 7 8 9

1 10

L4 D7 D8

R8

270R

R7

1k5

MP4101 4x NPN Darlington

L5 D9 D4

R10

270R

R4

1k5

2 3 4 5 6 7 8 9

1 10

MP4101 4x NPN Darlington

100336 - 11

12VDC

5VDC

LPT

LPTLPT

Personal Download for I © Elektor

63414

29elektor 7/8-2011

Mini-Placa de experimentación para ATtiny45Claude Frayssinet, F6HYT (Francia)

Esta pequeña maqueta de desarrollo, muy simple, ha sido diseñada para hacer pruebas en los microcontroladores AVR de 8 termina-les de la casa Atmel. Todo circuito de ocho terminales, alimentado por los terminales 8 (+5 V) y 4 (0 V), puede ser usado, verificando, por supuesto, que los terminales de progra-mación son idénticos a los del ATtiny45, para el que ha sido realizada esta maqueta.Los ocho terminales del circuito han sido sacados sobre dos filas de “espadines” y sobre dos clemas de tornillo (K1 y K2), con un paso de 5,08 mm.Hay tres modos posibles de alimentar la placa: por una alimentación externa de 8 a 12 V (15 V), a través de un conector de ali-mentación estándar; por una alimentación de 5 V, por la conexión SPI (ISP) del progra-mador (si el puente está presente); o por tres pilas de 1,5 V, a través de un interruptor. Sin la presencia de pilas, hay un espacio disponible para realización de prototipos. El LED indica la presencia de la tensión de alimentación.Para los experimentos hay tres herramien-tas presentes en la placa. Primero una sonda lógica sencilla, con un LED y su resistencia de limitación de corriente; a continuación, una resistencia ajustable entre Vcc y masa, que permite tener una tensión variable para los convertidores A/D y, por último, un pulsador que, al pulsarlo, dará un cero lógico.El conector ISP K4 en el esquema tiene seis contactos, en su placa del autor ha utilizado uno del tipo HE10 de diez contactos, norma-lizado para la programación de los programas en el microcontrolador.Está previsto el uso de dos condensadores SMD de 18 pF para un oscilador de cuarzo. No

de chorro de tinta, da un acabado muy lim-pio y, al mismo tiempo, extremadamente resistente.El dibujo de la placa, la serigrafía y las fotos están disponibles en [1].

(110034)

Enlaces en Internet[1] www.elektor.es/110034

afectan al funcionamiento del circuito si no se monta el cristal. Su conexión, poco orto-doxa (uno a masa y el otro a +5 V), ha permi-tido simplificar un poco el diseño de la placa.Los diodos 1N4007 pueden ser, bien de tala-dro pasante o bien SMD, así como las resisten-cias y ciertos condensadores de desacoplo.Una impresión de la serigrafía sobre papel adhesivo transparente, con una impresora

BT1

1V5

1V5

1V5

S1

R1

680R

LED1

D2

1N4007

C1

220n

C2

220n

D1

1N4007

K3

8V...12V

K4

SPI

K1 K2

18p

18p

J1

VCC PROG

C3

22n* *

* *

IC1

DIL8

IC278L05

VCC

VCC

P1

10k

R2

680R

LED2S2

* * *

110034 - 11

1

2

3

4

8

7

6

5

•   ‘For Adjustments’ Con eso puedes averi-guar la temporización de forma empírica, dependiendo de la velocidad del ordena-dor utilizado y la construcción del tren.

Hay que determinar cuándo debe accionarse cada bobina. En ese mismo momento se des-activa la bobina anterior. Esta temporiza-ción se realiza en el programa ‘simplemente contando’. Por supuesto que se podía haber hecho también con temporizadores.En ambos casos Windows XP perturba que la

temporización permanezca constante, por-que Windows tiene la característica de reser-var tiempo para hacer otras cosas (como es el control del interfaz del teclado) durante la ejecución de un programa de usuario. Visual Basic con el módulo Ports.bas y Ports.dll dis-ponía en Windows 95/98 del comando Real-Time True/False, para evitar que esto ocu-rriese durante la ejecución de un programa de usuario. Con esto era mucho más fácil obtener una buena temporización, ya que se impedía que Windows hiciera cosas pro-

pias. Por desgracia, esto ya no es posible en Windows XP, porque el usuario puede acce-der cada vez menos a los puertos de forma directa...

(100336)

Weblink:[1] http://es.wikipedia.org/wiki/

Tren_de_levitación_magnética

[2] www.elektor.es/100336

Personal Download for I © Elektor

63414

30 7/8-2011 elektor

Filtrado para relés de 12 VJürgen Okroy (Alemania)

Cuando queremos analizar el flanco de subida en una señal que conmuta (por ejemplo en un

determinadas a las señales de control, y los filtrados de los flip-flop RS utilizados común-mente no garantizan una seguridad absoluta

relé de 12 V) para darle utilidad en un sistema lógico, no siempre es del todo posible. Los sistemas lógicos exigen unas características

Detector láser de alturaCyriel Mabilde (B)

Por varias decenas de euros puedes com-prarte un láser giratorio, que resulta muy útil para colocar objetos a la misma altura en un espacio o en un jardín. Para distancias más largas y uso exterior, un láser giratorio no es generalmente muy visible, entonces el detec-tor de láser aquí descrito puede prestar un buen servicio. El detector funciona perfec-tamente hasta una distancia de 50 metros y contiene exclusivamente compontes están-dar. Puedes colocar el instrumento (en forma de caja) encima del objeto (por ejemplo, un poste o una viga) e indicar mediante tres LED y un zumbador si hay que colocar el objeto más alto o más bajo.

Se han utilizado LED con encapsulado trans-parente como sensores y con una lente incor-porada (parte superior abobada). Las zonas de detección superior e inferior utilizan cada una cinco LED y dos operacionales (IC1.A/B y IC1.C/D) que controlan los LED ‘Move-up’ y ‘Move-down’ respectivamente. El LED de detección del medio controla igualmente el LED ‘OK’ a través de dos operacionales (IC2.A/B). Los flancos positivos de los opera-cionales disparan tres monoestables aparte del tipo CD4047. Si quieres, puedes susti-tuirlos por el circuito dibujado dentro de la línea discontinua (1/4 de un latch RS cuádru-ple CD4044; en este caso las señales de salida

zar. Para los tiempos inferiores y superiores del monoestable optamos por un zumbido largo y corto respectivamente que se diferencia cla-ramente en duración (t = 2,48·RC). Los tres MOSFET T1, T2 y T4 están configurados como puerto OR para controlar el zumbador común. El cuarto MOSFET T3 controla el LED OK.Se puede alimentar todo el circuito con un portapilas de tres pilas tipo AA.

(110284)

son invertidas y hay que sustituir los MOSFET tipo N BS170 por unos del tipo P).El tiempo del monoestable se tiene que elegir de tal forma que sea más largo que las revo-luciones del láser (por ejemplo, con dos revo-luciones el tiempo el monoestable tiene que ser más de 500 ms, para que así el zumbador suene continuamente). La mayoría de los láse-res tienen el número de revoluciones regula-ble, de modo que también lo debes poder utili-

R17

C12

220n

+4V5

CD4047

IC4RCC

RST

AST

AST

OSC

VSS

RET

VDD14

–T

10

CX

13

RX

+T 1112

3

6

9

5

4

Q

1

2

8 Q

7

R16

*

*

*

C11

220n

+4V5

CD4047

IC3RCC

RST

AST

AST

OSC

VSS

RET

VDD14

–T

10

CX

13

RX

+T 1112

3

6

9

5

4

Q

1

2

8 Q

7

R18

C13

220n

+4V5

CD4047

IC5RCC

RST

AST

AST

OSC

VSS

RET

VDD14

–T

10

CX

13

RX

+T 1112

3

6

9

5

4

Q

1

2

8 Q

7

IC1.B

6

5

7

IC1.A

2

3

1

R7100kR4

1M

R6

3k3

C5

220n

R5

100k

C4

220n

C1

220n

IC2.B

6

5

7

IC2.A

2

3

1

R11100kR8

1M

R103k

3

C7

220n

R9

100k

C6

220n

C2

220n

IC1.D

13

12

14

IC1.C

9

10

8

R15100kR12

1M

R14

3k3

C9

220n

R13

100k

C8

220n

C3

220n

D1

D2

D3

D4

D5

R11M

D6

R21M

D7

D8

D9

D10

D11

R31M

C14

220n

R19

100k

+4V5

R20100k

T1

R21100k

T3

R22100k

T4

T2

IC2.C9

108

BZ1+4V5

IC2.D13

1214

D12

R23

220R

+4V5

D13

R24

220R

+4V5

D14

R25

220R

+4V5

110284 - 11

+4V5

C10

100u16V

IC14

11IC2

4

11IC1, IC2 = TLC274CD

+VBATT

MOVEUP

OKLEVEL

MOVEDOWN

BOTTOMSENSORS

SENSORSTOP

SENSOR

MIDDLE

T1...T4 = BS170

BS170

DG

S

220n

15

R-mono

141

+VCC

+VCC

0V

110284 - 12

1/4 CD4044Quad RS-Latch

+VCC

0V

Personal Download for I © Elektor

63414

31elektor 7/8-2011

ante las perturbaciones. Si no disponemos de una tensión adicional a 5 V, lo ideal para mejorar la señal es servirnos de un timer inte-grado 555.El circuito mostrado retiene el pr imer pico del f lanco de subida de una señal

durante unos pocos milisegundos, depen-diendo del valor del condensador C1. Así, el margen que resulta crítico permanece ajeno a las perturbaciones. Con un valor de C1 = 1 μF este lapso es de aproxima-damente 2 ms y con 2,2 μF de unos 4 ms.

Es más, al devolver la señal a 0 V también mejora la pendiente en el flanco de bajada, con lo que la forma de ésta es casi perfec-tamente rectangular.

(100252)

Semáforo para modelismoMichael Gaus (Alemania)

Quien quiera dar una apariencia más real a las calles en su maqueta, aquí encontrará el circuito para controlar los semáforos debida-mente. Con escasos componentes, es capaz de regular y conmutar de forma realista dos de ellos.Cada semáforo consta de tres LEDs (rojo, amaril lo y verde), cuyos ánodos están conectados en común. Los semáforos pode-mos diseñarlos por nuestra cuenta o comprarlos ya montados [1]. Un microcontrolador AVR del tipo ATtiny13 se encarga de las respec-tivas fases. Ya que el software se encarga de los semáforos uno des-pués tras otro (multiplexando), a este circuito le basta con tres resis-tencias en serie para los LEDs y cinco entradas del microcontrolador.Los semáforos pueden funcionar en dos modos distintos. Si se cierra el jumper JP1, el semáforo incluirá una fase rojo-ámbar, como ocurre por ejemplo en Alemania o el Reino Unido. Si JP1 está abierto, esta fase se omite y el semáforo pasará directamente de rojo

de interrupciones salta cada 5 ms alternativamente para cada semáforo, y según el esquema de color actual correspondiente.Los bits de fusible que vienen configurados de fábrica en el ATtiny13 son aptos para este circuito, de modo que no ten-dremos que reconfigurarlos. El software para el microcontrola-dor puede descargarse en [2]. El código fuente se ha generado con la versión de evaluación del com-pilador de C CodeVision AVR, el cual es gratuito para uso privado y sin propósitos comerciales. El tamaño del código está limitado a 4 KB, pero es más que suficiente para esta aplicación [3].

(110203)

Enlaces[1] www.conrad.de/ce/de/product/210630/

[2] www.elektor.es/110203

[3] www.hpinfotech.ro/html/download.htm

a verde (al igual que en España o EEUU, por ejemplo). Así pueden realizarse dos sucesio-nes de colores diferentes.Como reloj se utiliza el oscilador interno del ATtiny13 con un pre-divisor de 8, con lo que obtenemos una frecuencia de 1,2 MHz. El multiplexado se controla por la parte del soft-ware mediante un temporizador, cuya rutina

D1

D2

D3

D4

D5

D6

R1270R

R2270R

R3270R

PB1/PCINT1/AIN1/OC0B/INT0/MISOPB0/PCINT0/AIN0/OC0A/MOSI

PB2/PCINT2/SCK/ADC1/T0

PB5/PCINT5/RST/ADC0/DW

PB3/PCINT3/CLKI/ADC3PB4/PCINT4/ADC2

ATTINY13

IC1VCC

GND

8

4

7

1

23

65

JP1

+5V

2 MODE

C1

100n

110203 - 11

(+4V5)1

IC1

NE555 BC557OUT

THR

DIS

TR

CV

2

3

6

4

R

7

5

8

1

R1

1k1

R2

56k

C1*

R3

3k3

R45k6

T1

R5

5k6

R6

5k6

R7330R

D2

5V0

D1

7V5

C2

1u

S1 +12V...+15V

+5V

100252 - 11

Personal Download for I © Elektor

63414

32 7/8-2011 elektor

Anticongelante para conducto de agua socarexcon cinta para valla eléctricaPiedra Vignisse (Francia)

Las cintas para vallas eléctricas existen bajo diferentes calidades, formas y características. Generalmente están hechas por un entrela-zado de hilos de polietileno, nailon u otra materia sintética, con varios conductores de hilo inoxidable, cobre u otro conductor de diá-metro relativamente pequeño (de 1 a varias décimas de mm de diámetro).Para información, un hilo inoxidable largo de 1 m tiene una resistencia del orden de 23 Ω, para un diámetro de 0,2 mm y, por lo tanto, de 5,75 Ω para un diámetro de 0,4 mm. La resistencia lineal de una cinta puede variar, pues, desde algunos miliohmios a varios ohmios por metro, en función del número de conductores, sus diáme-tros y la naturaleza de los mismos. ¡Cal-mémonos, no tendremos que hacer cál-culos!, sólo tendremos que medirlo, si no viene especificado por el fabricante.Ensayos rudimentarios muestran que una cinta de 2 Ω/m, recorrida por una corriente de 1 A, eleva cerca de 15 °C la temperatura dentro de un panel “cli-matube”. Así pues, teóricamente, para soportar desde los -15 °C, tendría que disipar 2 W/m en el “climatube”. Con lo que un simple transformador de 50 VA ya permite cubrir, con toda facilidad, 25 m de tubo socarex (polietileno, que es aislante).Como hemos elegido la resistencia lineal, podemos realizar una cinta cale-factora de una longitud determinada,

con tan sólo alimentarla con una tensión de seguridad (menos de 50 VCA), sin peligro para nosotros ni para los animales.Tenemos pues P = V ² / R = R x I ² = 2 con P en W/m, R en Ω/m y V en V/m. Si L es la longitud total en metros, y como VTOTALE < 50 VAC, tene-mos que R < 1250 / L² [Ω/m] y necesitaremos I > L / 25 [A]. Sabiendo que para 2 W/m, V = √ (2R) y que I = √ (2/R), sabemos calcular todo.

los MOSFET, el cual debe ser suficiente para garantizar la carga sin pérdida significativa de tensión de rejilla (aquí, de 5 V).Sobre la placa [1], se ha extraído el sensor para evitar que los 0,6 W disipados por R2 a R5, así como la potencia disipada por los transistores, perturbe el funcionamiento del sensor. Los pla-nos de cobre dan uniformidad a la temperatura alrededor del sensor. La placa será recubierta con cuatro capas de barniz transparente para darle un aislamiento medioambiental impor-tante, ya que será montada en el exterior.La cinta también debe ser preparada, lo que, tal vez, sea la fase más fastidiosa. General-mente, la instalación necesitará un conduc-tor para el retorno de la corriente; a menos que no decidamos doblar la potencia reali-zando la ida y la vuelta con la cinta, o de redu-

cir la corriente en un factor √2. Por otra parte, habrá que descoser los extremos de la cinta para realizar las conexiones sin errores. La cinta utilizada tiene 2 cm de ancho, para dar fuerza al hilo de retorno, así como para aislar completa-mente la cinta en el paso por los codos y las “T” metálicas con, por ejemplo, cinta adhesiva de 5 cm de ancho y disponible en todas las tiendas de bricolaje. Lo más costoso: la ganancia de temperatura.El soldador, los terminales para cable y los racores es lo que necesitamos para finalizar el conexionado.A continuación, aún falta fijar la cinta al tubo. Si disponemos de abrevaderos automáticos, podemos pensar en hacer un lazo bajo el cuenco para calentarlo también, no debemos olvidarnos de colocar correctamente el “climatube”.

Finalmente, colocaremos nuestra placa en el exterior, a una altura de unos 2 m y, preferente-mente, en horizontal, para una mejor eficacia. Procediendo de este modo, el tubo será calen-tado antes de sea alcanzado por la helada.

(110189)

Lazos internet[1] www.elektor.es/110189

Sin embargo, tendremos en cuenta el no uti-lizar una corriente susceptible de perturbar la medida de temperatura, ya que, un calen-tamiento demasiado importante de los tran-sistores de control podría falsificar el funcio-namiento del montaje. El ejemplo que sigue soporta 2 A sin problemas.La realización está basada en el uso, por una parte, de dos transistores de potencia MOS-FET, del tipo IRFR3607 (RDS () de 9 m Ω, VDS

(max)= 75 V) y, por otra parte, del LM26CIM-HHD, un termostato que se activa a 0 °C (salida “push-pull”, histéresis de 2 °C, ultra bajo consumo de 40 μA máximo bajo 5 V, con encapsulado SOT223). Un diodo LED indicará la presencia de la tensión de alimentación, mientras que otro podría ser colocado en paralelo sobre la cinta.

Las resistencias R2 a R5 (SMD 1206) han sido previstas para soportar, en términos de disi-pación, el rango de tensión propuesto, garan-tizando 3 mA en el diodo zéner pero, si la ten-sión se reduce, su valor debe disminuir pro-porcionalmente. El terminal HYST del LM26 ha sido conectado a 5 V para seleccionar 2 °C de histéresis. El condensador C1 se ha ele-gido con un valor para cumplir con la CISS de

LT1172VTEMP

IC1HYST

GND

OUT

+5V

2

4

51

3

R5

15k

R1

2 /m

T1

IRFR3607

T2

IRFR3607

R61k

R2

15k

R3

15k

R4

15k

D4

5V1

C1

1u

D1

1N4148

D2

1N4148

D3

110189 - 11

12V.

..48V

Personal Download for I © Elektor

63414

MEJOR SIN PIZARRAMEJOR MEJOR MEJOR MEJOR MEJOR MEJOR MEJOR MEJOR MEJOR MEJOR MEJOR MEJOR MEJOR MEJOR MEJOR MEJOR MEJOR MEJOR MEJOR SIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRAMEJOR MEJOR MEJOR MEJOR MEJOR

R= V/ I

R1+R2

EDU-001EDU-002EDU-003EDU-004EDU-005EDU-006EDU-007EDU-008EDU-009EDU-010EDU-011EDU-012EDU-013EDU-014EDU-015

(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...) (a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...)(a+b+c...) == a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...a b c...

(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b(a+b)+c = (b+c)+a = c+a+b

(Vo)(Vo)(Vo)(Vo)(Vo)(Vo)(Vo)(Vo)(Vo)(Vo)

Aprender electrónica mucho más fácil

Programación Picaxe. Electrónica Convencional.

Mini-Laboratorios para programación Picaxe y para Electrónica Convencional.

Herramientas completas con documentación y prácticas para un rendimiento óptimo y rápido de alumno y profesor.

Cada mini-laboratorio permite experimentar y conocer en profundidad los distintos conceptos, sin montajes ni documentación adicional, aprovechando al máximo el factor tiempo disponible.

[email protected] / 933.313.342

Picaxe educacional Leds

Picaxe educacional Zumbador

Picaxe educacional Motor

Picaxe educacional Luz

EDU-020

EDU-021

EDU-022

EDU-023

Educacional de LedsEducacional de Diodos y ZénersEducacional de las ResistenciasEducacional de la Soldadura“Caja de Resistencias”Educacional de Puentes RectificadoresEducacional del NPN y PNPEducacional de Displays ledEducacional de Fuente de AlimentaciónEducacional del Relé electromecánicoEducacional de Puertas LógicasEducacional del Álgebra de BooleEducacional del 555 MonoestableEducacional del 555 BiestableEducacional del Comparador 741EDU-015

[email protected] / 933.313.342

Educacional del Comparador 741

[email protected] / 933.313.342

Electrónica Convencional.

SIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRASIN PIZARRA R1+R2R1+R2R1+R2R1+R2R1+R2R1+R2R1+R2R1+R2R1+R2R1+R2R1+R2R1+R2R1+R2R1+R2R1+R2R1+R2R1+R2

Aprender electrónica mucho más fácilMini-Laboratorios para programación Picaxe y para Electrónica

Herramientas completas con documentación y prácticas para un rendimiento óptimo y rápido de alumno y profesor.

Cada mini-laboratorio permite experimentar y conocer en profundidad los distintos conceptos, sin montajes ni documentación adicional, aprovechando al máximo el factor tiempo disponible.

Mini-Laboratorios para programación Picaxe y para Electrónica

Personal Download for I © Elektor

63414

34 7/8-2011 elektor

Comprobador de baterías

Dieter Kohtz (Alemania)

El circuito aquí presentado comprueba la corriente de carga y descarga de una batería, supervisando de este modo su estado. Según los valores de corriente obtenidos en función del tiempo se muestra el consumo corres-pondiente. Ciertamente, la medida de la ten-sión de la batería no es necesaria para saber el estado de la carga, pero aparece como un producto derivado de ella.La corriente fluye desde o hacia la batería en el circuito a través de una resistencia de shunt de 0,4 mΩ. La batería a monitorizar ali-menta también al circuito de medida. Ya que el operacional TLC271 en esta aplicación se ha conectado como amplificador diferencial, necesita alimentación dual, mediante el timer CMOS ICM7555 conectado como oscilador se ha diseñado una llamada bomba de diodos. La alimentación dual del operacional de ±5 V se

Pueden obtenerse variaciones en torno a este valor ajustando el balance de offset del operacional.Para registrar la tensión de la batería, cuyo valor nominal ronda los 12 V, se ha añadido un divisor de tensión al segundo ADC, que con una tensión de 15 V genera 4,888 V, lo cual corresponde a 1000 bits en el ADC. Este divi-sor también puede hacerse con resistencias de película metálica fácilmente. Los resulta-dos de la medida se muestran en un LCD de una línea.C o m o m i c r o co n t r o la d o r s e u t i l i z a u n PIC16F873A, cuyo firmware realiza las siguien-tes tareas:

1. Medir la tensión y corriente en intervalos regulares.

2. Sumar los valores de la energía según el tiempo, con el fin de determinar el consumo.

hace mediante reguladores de tensión fijos. Los 5 V positivos también alimentan el resto del circuito incluyendo el LCD.El amplificador de instrumentación para la corriente se utiliza para llevar a cabo el siguiente proceso: una corriente de entre +150 A y -150 A produce en el shunt una caída de tensión de entre +60 mV y -60 mV. Para adaptarlos al conversor A/D interno (ADC) de 10 bits del microcontrolador hemos de ajus-tar la ganancia de modo que ±150 A corres-pondan en el ADC a ±300 bits. Según la refe-rencia de tensión de 5,00 V esto corresponde a ±1,466 V. Así, la ganancia necesaria que se obtiene es de 1466/ 60 = 24,43, que puede conseguirse fácilmente con resistencias de película metálica. La referencia en tensión conectada en el operacional junto con el LM336 acaba resultando en una tensión de salida de la mitad de dicha referencia = 2,5 V.

ICM7555IC5

DIS

THR OUTTR

CV

2

7

6

4

R

3

5

8

1

TLC271

IC22

36

7

4

5

1

8

LCD1

VSS

VDD

R/W

LC Display 1 x 16

VO RS D0 D1 D2

10

D3

11

D4

12

D5

13

D6

14

D7

1 2 3 4 5 6

E

7 8 9

P2

50k

R639k

R71k6

R81k6

R9

39k

R5

10k

R1

24k

R2

150k

R10

10k

R13

33k

R12

1k

R11

10R

C8

10n

C7

1n

RShunt

150A60mV

BT1G1

7805IC1

C1

10uTant.

IC3

LM336

C9

1000u

C10

100n

D2BAT85

D1

C3

10u

C6

100u

Tant.

IC4

79L05

C5

470u

C4

100n

R3

10k

C2

10uTant.

P110k

+5V+5V

-5V

R4

10k

S1

PIC16F873

IC6MCLR

OSC1

OSC2

VDD

RC7

VSSVSS

RC6

RB0

RB1

RB2

RB3

RB4

RB5

RB6

RB8

AN0AN1

RA2

18

20

19

17 21 22 23 24 25 26 27 28

10

8

1

23

4

9

X1

4MHz

R14

270R

+5V

+12V

1%MF

1%MF

1%MF

1%MF

1% MF

1% MF

1% MF

110154 - 11

2x

Personal Download for I © Elektor

63414

35elektor 7/8-2011

3. Guardar los resultados obtenidos del con-sumo en la EEPROM interna.

4. Representación opcional de la corriente, tensión y el consumo.

La parte principal del programa codificado en ensamblador consta de cuatro bucles de 45 ms, 225 ms, 1125 ms y 72 s de duración. Dentro del bucle controlado por TMR0 de 45 ms no ocurre nada; únicamente transcurre ese tiempo exacto. Tras cada 225 ms se activa un botón. El propósito de éste es el muestreo cíclico de la representación del consumo com-binando los resultados de corriente y tensión. En el tercer bucle, o sea, cada 1125 ms, se mide la corriente y la tensión. En cada llamada se ini-cia la conversión A/D para poder mostrar los resultados obtenidos. Cada valor de medida de la corriente junto con su signo se almacena en una memoria. El bucle de 1125 ms se eje-cuta 64 veces, de modo que cada 72 segundos

tenemos almacenada la suma de 64 medidas de corriente. Tras 72 segundos se calcula la media de la corriente dividiendo la suma entre 64. Este intervalo de 72 segundos se ha esta-blecido por la siguiente razón: la tarea princi-pal del circuito es integrar la corriente en fun-ción del tiempo. En un sistema digital esto no puede hacerse de forma continua, sino que se necesitan intervalos de tiempo. En la medida de la corriente 1 bit corresponde a 0,5 A. Si los valores medios de la corriente se suman en intervalos de tiempo de 72 s = 0,02 h, obtene-mos una equivalencia para el consumo de 1 bit = 0,01 Ah. El programa considera el hecho de que en el proceso de carga la corriente no está a plena capacidad, con lo que dicha corriente de carga si es positiva se multiplica por un fac-tor de 0,7.El prototipo del circuito se ha montado sobre una tarjeta perforada de tiras. Éste se calibra sin tener la batería conectada (o sea, que en

el shunt tenemos 0 V). En el modo de medida de la corriente en el display la ponemos a cero mediante el potenciómetro de offset P2. Así compensamos tanto el posible offset de IC2 como las variaciones en los 2,5 V de la tensión de referencia de IC3. Antes hemos de ajustar el contraste del display con P1.El software para el microcontrolador (tanto hexadecimal como en código fuente) puede descargarse gratuitamente de la web de Elektor [1].Un último detalle importante: las prime-ras seis celdas de la EEPROM interna han de ponerse a cero al programar el PIC, pues el programa lee los valores del consumo desde la EEPROM inmediatamente después de conectar la tensión de alimentación.

(110154)

[1] www.elektor.es/110154 (descarga del software)

Mini-intermitenteFrank de Leuw (Alemania)

Este intermitente de LEDs consta únicamente de cinco componentes y es apto para perso-nas que quieren introducirse en la electrónica. Además, su funcionamiento puede compren-derse fácilmente:Tras conectar la pila de 9 V se carga el conden-sador C1 mediante la resistencia de 1 MΩ R1. El condensador está conectado directamente con el emisor del transistor PNP (BC557). La base de este transistor está conectada mediante el LED con la tensión de la pila de 9 V, y debido a la caída en el LED, su tensión es menor que la de la pila. Con un LED rojo, esta caída de tensión (la llamada tensión de flujo en el sentido de conducción del diodo) es de aproximadamente 1,6 V, la tensión en la base será entonces: 9 V – 1,6 V = 7,4 V.Si ahora la tensión en el condensador supera la de base-emisor del transistor PNP, apare-cerá una pequeña corriente y éste empe-zará a conducir, con lo que finalmente circu-lará corriente del emisor al colector. Ya que el colector del transistor PNP está conectado con la base del segundo transistor (del tipo NPN), en este aparece también una (pequeña) corriente de base, comenzando a conducir. El colector del transistor NPN está conectado

Si el condensador está descargado, los transis-tores entran en corte nuevamente, con lo que el proceso se repite otra vez. Con el dimensiona-miento dado (C1 = 1 μF, R1 = 1 MΩ) el LED parpa-dea brevemente cada 2 segundos, más o menos.El circuito empieza a parpadear aproxima-damente a partir de los 2 V, y su consumo es tan reducido que el circuito puede fun-cionar durante más de un mes con una pila de 9 V. También pueden utilizarse pilas de 9 V viejas, casi ya descargadas, para utili-

a su vez directamente con la base del PNP. Ambos transistores se regulan entre sí, con lo que pasan rápidamente a controlarse mutua-mente. A esto se le conoce como efecto ava-lancha o de tiristor.Ambos transistores en conducción cortocir-cuitan el condensador descargándolo casi por completo. Además, el transistor NPN en este tiempo permite circular una corriente (relati-vamente alta) a través del LED, con lo que bri-lla brevemente.

T2

BC547

T1

BC557

BT1

9V

D1R1

1M

C1

1u

110213 - 11

T2

BC547

T1

BC557

BT1

9V

D1

R1

22k

C1

100n

LS1

110213 - 12

Personal Download for I © Elektor

63414

36 7/8-2011 elektor

zar este parpadeo durante largo tiempo. El intermitente de LEDs puede modificarse fácilmente para obtener un metrónomo o generador de tonos, conectando, como se muestra en el esquema del circuito, un pequeño altavoz de 8 Ω en serie con el

Este crujido se convertirá en un silbido si utili-zamos frecuencias de reloj más altas en el cir-cuito. Para ello se necesitan valores pequeños en C1 y R1. En el segundo esquema del circuito se especifican 22 kΩ para R1 y 100 nF para C1.

(110213)

LED. Si el altavoz chisporrotea o silva, eso depende de los valores del condensador C1 y la resistencia R1. Según el dimensio-namiento dado anteriormente, el LED par-padea cada dos segundos, mientras que en el altavoz suenan crujidos.

Interruptor de red automáticopara segundas residencias

Stefan Hoffmann (Alemania)

Los aparatos conectados en una casa (de vacaciones) a la cual no se va mucho, o está vacía durante largas temporadas están consumiendo energía innecesa-riamente y pueden suponer un peligro de incendio. Casi todos nos conocemos eso de llevar conduciendo unos cuantos kilómetros y empezar a preguntarnos: ¿seguro que he apagado la cafetera? ¿y la luz? ¿y la cocina?

En las habitaciones de hotel suele haber un interruptor tras la puerta, que activa la corriente sólo tras insertar la tarjeta que sirve como llave de acceso (con un chip, banda magnética o código). Con el circuito aquí pre-sentado ofrecemos algo parecido, de modo que pueda desconectarse la luz y otros apa-ratos cuando dejamos la vivienda. La solución es tremendamente simple:

tacto del relé y el interruptor de len-güeta cerrado, el relé permanece energi-zado incluso tras soltar el botón (circuito auto-mantenido).Una vez se abre la puerta, el interruptor de lengüeta también se abre desconec-tando el circuito auto-mantenido, con lo que el relé se desactiva. ¡Los aparatos se desconectarán automáticamente en el momento de abandonar la casa!El circuito ha sido diseñado ante todo para pequeñas casas de verano, con este principio puede ponerse en práctica perfectamente.La regla básica en todo montaje con ten-sión de red:

¡Atención, peligro de muerte!El montaje y conexión del circuito ha de ser llevada a cabo por un electricista cualificado bajo las medidas estipuladas en la normativa VDE. ¡Esto, naturalmente, significa también que el relé utilizado debe ser apto para traba-jar a tensión de red y ha de estar debidamente dimensionado!

(110157)

En el marco de la puerta principal se sitúa un interruptor de lengüeta, que mediante un imán se encuentra activo si la puerta está cerrada. Para activar la alimentación de la casa de vacaciones hará falta pulsar el botón S1 brevemente. El relé RE1 se activa y cierra el circuito de los aparatos conectados a 230 V en la vivienda. Mediante un segundo con-

RE1

12VDC,DPCO

S1

9V

S2

N S

Interruptor Reed

Imán

110157 - 11

230V

230V

Sensor de efecto Hall experimentalBurkhard Kainka (Alemania)

Naturalmente los sensores de efecto Hall pue-den comprarse, pero es mucho más intere-sante construir uno nosotros mismos.En teoría sólo basta con utilizar una lámina lo más fina posible, el largo y el ancho no impor-tan. Podríamos probar con cobre, ya que es un material que laminado resulta fácil de tra-tar. Sin embargo, pensándolo bien no sería la mejor opción, ya que tiene una constante de

6.667. Después está el circuito en puente para el medidor. El potenciómetro se utiliza para el ajuste fino. Gracias a él podemos fijar un punto de cero muy preciso y medir en el rango de los milivoltios, e incluso tener tensiones de Hall inferiores a un microvoltio. Finalmente, de esta manera puede medirse la densidad de flujo en un imán.El cobre tiene una constante de Hall de AH = -5,3·10-11 m3/C. El grosor de la lámina de

Hall muy pequeña. Pero actualmente existen imanes extremadamente fuertes, con lo que podemos lograr un sensor Hall también si tra-bajamos con cobre.Se trata de tener la mayor ganancia posible. La amplificación de la tensión en el circuito mos-trado está determinada por la relación entre ambas resistencias de desacoplamiento del pri-mer operacional. Según los valores dados (2M2 y 330 Ω) obtenemos una ganancia de factor

Personal Download for I © Elektor

63414

37elektor 7/8-2011

cobre es d = 35 μm. Por lo tanto, la tensión de Hall equivale a:

UH = AH·I·B/d

Para B = 1 T e I = 1 A obtenemos una tensión de Hall de UH = 1,5 μV. Con la ganancia total de 6.667 proporciona unos 10 mV. El circuito tiene una precisión de 10 mV por Tesla. Pero no resulta tan simple ajustar el punto de cero con P1. El amplificador tiene su propia alimen-tación gracias a una pila de 9 V (BT1). Para las mediciones, conectamos una fuente de labo-ratorio con corriente de salida ajustable (BT2) al sensor Hall (la lámina de cobre) y fijamos una corriente por el sensor de 1 A exacto. Ahora hemos de reajustar nuevamente el punto de cero.Finalmente, colocamos un imán de neodimio bajo el sensor. La tensión de salida del circuito pasará a ser ahora de unos cuantos milivol-tios. Pero existen multitud de efectos para-lelos que pueden alterar los resultados de la medida. Cada movimiento del imán genera una tensión inducida en los cables conducto-res, que ya es considerablemente mayor que la tensión de Hall. Tras mover el imán hemos de esperar un poco hasta que el valor de la medida se haya estabilizado otra vez. Aparte,

con tensiones de medida pequeñas aparecen problemas derivados de tensiones originadas por las diferencias de temperatura. Si es posi-

ble, hemos de intentar no mover ni siquiera el aire...

(110196)

R1

100k

R2

100k

R5

330R

R3330k

R42M2

C1

100n

C2100n

IC1.A2

31 IC1.B

6

57BT1

P1

100k M1

V

8

4

BT2

Superficie Cobre

1A

110196 - 11

IC1 = LM358

Sonda de desbloqueo para µC AVR

P. Rondane (Francia)

«AVR device not responding» (¡el dispositivgo AVR no responde!). Cuándo aparece este men-saje desalentador en el momento de progra-

según la marca del circuito integrado, la fre-cuencia de unos 500 kHz puede variar en torno a los ±50 kHz. Esto no influye en nada en el fun-cionamiento de la sonda.

mar nuestro microcontrolador Atmel es cuando ¡los proble-mas comienzan! A menudo, el problema es debido a una mala programación de los ‘fuses bits’. Es aquí donde la sonda de des-bloqueo entra en acción…Una vez hemos alimentado el conjunto, basta con aplicar la punta de contacto con una mano sobre la entrada XTAL1 del microcontrolador y, a con-tinuación, con la otra mano, la programación del componente con nuestro programa favo-rito. Y ya lo tenemos, ¡nuestro microcontrolador salvado!La electrónica es de lo más sim-ple, cuyo fin es una reproducción

sencilla y accesible. Dicha electrónica consiste en un oscilador, que genera trenes de pulsos de unos 500 kHz, realizado con uno 74HC04. Este montaje funciona también con un 74HC14 pero,

K1

10

1 23 45 67 89

VCC

C3

10u16V

C2

100n50V

IC114

7

R3

470R

D1

1 21

IC1.A3 41

IC1.B5 61

IC1.C

R1

47k

R2

3k

C1

220p50V

9 81

IC1.D

11 101

IC1.E

13 121

IC1.F

IC1 = 74HC04

110374 - 11

500kHz

Personal Download for I © Elektor

63414

38 7/8-2011 elektor

La placa de desbloqueo está conectada por un cable plano, acabado por dos conectores HE10/10 hembra. La distribución de terminales del conector HE10/10 es idéntica a la utilizada en la mayoría de los montajes pero, claro está, está adaptada a un conexionado HE10/06. El primer conector está unido a la placa a des-

El diseño de la placa (en Eagle) está disponi-ble en [1].

(110374)

Enlaces en Internet[1] www.elektor.es/110374

bloquear, lo que permite alimentar la elec-trónica. El segundo conector está conectado al programador ISP (compatible STK200). El contacto, a nivel del cuarzo, se hace por una aguja, lo que asegura el contacto incluso a tra-vés de una placa barnizada. No es necesario desoldar el cuarzo para esta manipulación.

Dipmeter minimalistaBurkhard Kainka (Alemania)

Todo radioaficionado que se precie cuenta desde principio con un dipmeter en su arse-nal. Hoy en día casi todos podemos permitir-nos un osciloscopio, con lo que el dipmeter ha perdido importancia y en breve posible-mente pase a la historia. Realmente es una pena, porque rara vez nos encontramos con algo tan sumamente simple como un dip-meter. Quien esté interesado (de nuevo) en él, podrá montar éste por su cuenta rápida-mente y sin ningún circuito adicional. Como es lógico, aparece una interesante pregunta: ¿qué necesitamos en realidad?

•   ¿Un indicador para mostrar los dips? No hace falta, el osciloscopio puede encar-garse de esta tarea.

•   ¿Una gran escala de  frecuencia? Tam-poco es necesaria, podemos conectar un frecuencímetro.

•   ¿Bobinas intercambiables? Por tercera vez, no hacen falta, pueden intercambiarse mediante un jumper.

mos lentamente el condensador variable C1 sabremos la frecuencia de resonancia cuando la amplitud del oscilador disminuya ligera-mente. Dicha frecuencia puede leerse direc-tamente mediante el osciloscopio. Si es nece-sario que la medida de la frecuencia sea muy precisa, podemos conectar adicionalmente un frecuencímetro en la segunda salida.

(110198)

La bobina sensora L1 consta de diez espi-ras arrolladas alrededor de una batería AA. Gracias a ella obtenemos un rango de 6 MHz a 30 MHz. Sin el jumper JP 1 tenemos una inductancia fija de 10 μH en serie. En este caso, el rango de medida de la frecuencia es de 2,5 MHz a 10 MHz.En la medida mantenemos un resonador cerca de la bobina sensora. Después si gira-

T1

BF240

T2

BF240

T3

BF240T4

BF240

L1

R1

2k2

R2

2k2

R3

330R

L2

10uH

*

C1

265p

C2

100n

C3

100n

Osciloscopio

Frecuencímetro

110198 - 11

BT1

1V5

JP1

Sonda de tensión variableGerd Haller y Michael Gaus (Alemania)

En la comprobación de circuitos a menudo hace falta poder suministrar un nivel de señal determinado, como por ejemplo un nivel lógico a la entrada de un circuito digital o una tensión de referencia para una entrada analógica.La sonda de tensión variable aquí presentada cumple este objetivo a la perfección.

operacional puede variarse la ganancia y con ello modificar la tensión de salida.Para conmutar el nivel de señal deseado se uti-liza un contador decimal del tipo 4017. El con-trol de la entrada del reloj se hace mediante un pulsador conectado a una red RC y un compa-rador Schmitt-Trigger para filtrar los rebotes en el contacto. Cada vez que se pulsa el botón el 4017 avanza una etapa y activa un FET que

Pueden seleccionarse entre niveles de señal fijos de 0 V, 2,5 V, 3,3 V, 4,096 V y 5 V. Ade-más también puede ajustarse una tensión de salida variable de entre 2,9 V y 7,3 V mediante un potenciómetro.

La tensión se genera primero en el compo-nente de referencia REF192, el cual suministra 2,5 V. Mediante las resistencias conectadas al

Personal Download for I © Elektor

63414

39elektor 7/8-2011

conmuta la tensión de salida correspondiente. Aparte, cada salida del 4017 dispone de un LED mediante el cual muestra el nivel de señal que está activo en ese momento.

La salida 6 del contador está conectada al pin de Reset, de modo que el contador se reinicia cada seis etapas.

Si el nivel de señal ha de ser 0 V, la fuente de la tensión de referencia se desconecta mediante el pin de shutdown, con lo que con una resistencia de pulldown de 1 K se pone efectivamente a 0 V.

La tensión de alimentación del circuito se obtiene de una pila o batería de 9 V. El resto

se ha integrado en una pequeña cubierta de plástico a modo de lápiz. Ahora ya puede suministrarse la señal con el nivel deseado mediante una sonda. Para poner en común las masas puede utilizarse un cable con una clema conectada a la del circuito que va a comprobarse.

(110342)

CTRDIV10/

IC1

CT=0

CT 5

4017

DEC

14

13

15

1211

10

16

4

9651

7

32

& +

0123456789

8

+9V

R1

47k

C5

100n

12

3

IC2.A

&

56

4

IC2.B

&

D1 D2 D3 D4 D5 D6

R3

3k3

R2

100k

C1

100n

+9V

S1

T2

2N7002

T5

2N7002

T3

2N7002

T4

2N7002

R13

3k

R12

47k

R11

1k

R10

24k

R9

16k

R14

8k2

P1

100k

REF192SLEEP

IC3OUT

GND

V+

4

3 6

2

IC4.A2

31

C2

100n

C8

1u16VTant

R4

1k

R5100R

R716k

T1

BCX56/16

R8

1k

R6

10R

0V 2V5 3V3 4V096 5V

+9V+9V

C3

100n

C4

25V10u

IC4.B

6

5

7

J1

J2

GND

89

10

IC2.C

&

1213

11

IC2.D

&

110342 - 11

BT1

9V

S2

POWER

IC214

7IC4

8

4

C6

100n

C7

100n

+9V

IC4 = AD823

IC2 = 4093

2V9...7V3

Indicador de temperatura de aceite para moto de 125 cc

Georges Treels (Francia)

Muchas motos asiáticas están equipadas con una motorización del tipo GY6. Estas mecáni-cas, ya antiguas, son robustas y económicas, pero si se desea «aumentar» un poco la poten-cia restituida (kits llamados «Racing», mejor gestión del avance, etc.), nos encontramos rápidamente enfrentados al problema de la temperatura del motor y la colocación de un disipador térmico (a menudo llamado sin razón

•  rango de temperatura de 50 a 140 °C.•  advertencia sonora y visual en caso de tem-

peratura peligrosa.•  volumen pequeño.•  estanco.

Comencemos por el sensor. Se trata de un ter-mopar de tipo K, igual a los que usan regular-mente los fabricantes de multímetros. Fácil-mente disponibles y bastante baratos, son robustos y su linealidad es excelente en el rango de medidas que nos interesa. El rango de medida se extiende entonces de 2 mV a 5,7 mV para diez puntos de medida.

«radiador») en la circulación del aceite se hace imperativa. Sin embargo, en estas condiciones es más tranquilizador para el usuario disponer permanentemente de una indicación clara y fiable de la temperatura del lubricante.He aquí el pliego de condiciones establecido del indicador térmico deseado:•  ninguna parte móvil (ningún galvanóme-tro, pues), ¡porque eso vibra mucho en una moto!

•  precio tan bajo como sea posible (en torno a 15 €).

•  sonda de medida robusta (a evitar NTC y otros sensores exóticos).

Personal Download for I © Elektor

63414

40 7/8-2011 elektor

La salida positiva del termopar ataca la entrada no-inversora de IC3.A, cableado como amplificador no inversor. Su ganancia, de 221, viene determinada por R1 y R2. IC3 es un LM358, elegido por sus buenas carac-terísticas bajo una alimentación mono-ten-sión. IC3.B está cableado como seguidor, con objeto de no dejarle alimentado con sus «ter-minales al aire».La salida de IC3.B está conectada al termi-nal 5 de IC1, un LM3914. Este circuito inte-grado, muy corriente, es un controlador para un visualizador de LED. Podemos elegir que funcione en modo Point o Bar, según el cableado del terminal 9. Conectado al posi-tivo de la alimentación, el visualizador estará en modo Bar. El terminal 8, conectado a masa, fija el fondo de escala a 1,25 V. R3 determina la intensidad media que circula por los LEDs. El terminal 4, a través del puente divisor R7 / R8+R9, fija el “offset” a 0,35 V. La colocación en serie de R8 y R9 evita la utilización de resis-tencias de precisión. Según la nota de aplicación del LM3914, R4, R5, R6 y C5 activan el parpadeo del conjunto del visualizador, cuando se enciende D10 (130 °C). Simultáneamente, a través de R10 y T1, el zumbador (activo) va a advertir al usua-rio del recalentamiento. El condensador C6 evita oscilaciones no deseadas de la referen-cia en modo «parpadeo».IC2 es un clásico regulador 7808 y los conden-

mos olvidar el arma total en materia de elec-trónica de abordo: la cola térmica. Mejor que la resina (reversible) y lucha eficaz contra las vibraciones.

(100940)

Enlaces internet[1] www.elektor.es/100940

sadores C1 a C4 filtran las alimentaciones. ¡No los podemos omitir! D1 protege el montaje contra toda inversión de polaridad.El autor diseñó dos placas que hay que mon-tar a modo de “sándwich” (documentación CAD que hay que descargar de [1]). En la descarga encontraremos también un docu-mento con unas fotos del montaje. No debe-

Relé estático de arranque de 70 AGeorges Treels (Francia)

Los relés de arranque para moto son, en gene-ral, poco costosos en su versión electromecá-nica, pero la otra cara de la moneda es que son poco fiables. La resistencia de contacto aumenta a lo largo del tiempo, la bobina puede romperse por las vibraciones y, a veces, los contactos de potencia quedan soldados. Una solución es la sustitución por un relé está-tico. En modo CC se impone el uso de un tran-sistor MOSFET.Como sucede a menudo en los sistemas de los coches, el polo negativo de la alimentación está conectado a masa, lo que obliga a la utili-zación de MOSFET de canal P. La corriente que hay que conmutar es relativamente impor-tante, entre 55 y 100 A (según la cilindrada y la compresión), por lo que necesitamos un transistor con una RDS(on) muy baja, capaz de soportar una IDS importante.

tensión, bastante destructivos para el dis-positivo de control, de donde la necesidad

El arranque lo forma un motor CC de escobi-llas que genera un buen número de picos de

4N28IC1

5

4

1

2 6

R1220R

R2

100k

R3

1k

T1

*C1

1000u

D2

P600D

D3

P600D

C2

100n

+BATT

100941 - 11

D1

20V

MM

S1

S2

+BATT

A

B

*

*

7808IC2

C2

10u

C1

10u

C3

100n

C4

100n

D1

1N4148

C6

10u

D11D10D9D8D7D6D5D4D3D2R9

10R

R8

36R

R7

1k

R3

1k

R6

470R

C5

100u

R5

1k

R1010k

T1

BC557

REFOUT

REFADJ

LM3914

IC1

MODE

SIG

RHI

RLO

L10

1716151413121110

L9L8L7L6L5L4L3

L1

18L2

9

5

8

4

6

7

3

2

1

IC3.B

6

5

7

IC3.A

2

3

1

R1220k

R2

1k

IC38

4

+12V

BZ1

R4100R

C7

100n

TEMP

IC3 = LM358

100940 - 11

Personal Download for I © Elektor

63414

41elektor 7/8-2011

de una buena protección lo es todo. El exa-men de los esquemas eléctricos de diversas motos revela que el freno de seguridad (que se activa primero) proporciona +12 V, pero el contactor de arranque (que se activa a con-tinuación) está conectado a masa. Una solu-ción simple es la de utilizar un fotoacoplador. Señalemos de paso que esta técnica permite utilizar este montaje en una gran multitud de otras aplicaciones.Por último, el montaje debe ser «Plug ‘n’ Play», es decir. utilizable con el conector de origen, lo que limita las dimensiones finales del montaje a 50 x 50 mm.La realización de una placa capaz «trabajar» con una corriente de 70 A necesita de unos cálculos. La resistencia de una pista de cobre de un espesor E de 35 μm (0,035 mm), con una longitud L y una anchura l, es de 1,7 x 10-5x L / (E x l) Ω (E, L y l en mm, T = 25 °C). La colocación de los componentes nos per-mite pistas de 15,25 x 44 mm, así que cada pista representa 1,4 mΩ, es decir, 0,7 mΩ si se utiliza una placa de dobla cara. Bajo 75 A,

la caída total de tensión será de unos 100 mV y la potencia disipada de 7,5 W.El transistor MOSFET SUP75P03-07-E3 de Vis-hay Siliconix (Farnell ref. 1794812) ofrece una RDS(on) de 7 mΩ bajo 75 A, es decir, 3,5 mΩ si se ponen dos en paralelo. En ese caso, la caída de tensión es de 0,263 V y la potencia disipada por cada transistor, de cerca de 10 W.Al final, nos reencontramos con una caída de tensión global de unos 360 mV y una disipa-ción total de cerca de 27,5 W.A h o r a p as e m os al es q u e ma. L a p a r te izquierda (todo lo que hay en el rectángulo punteado) corresponde al cableado de ori-gen de la mayoría de las motos chinas. R1 fija la corriente del LED del fotoacoplador 4N28, a unos 25 mA y R2 polariza la base del foto-transistor. El colector del fototransistor está conectado directamente a los drenadores de ambos MOSFET T1, cableados en paralelo. En reposo, los transistores están bloqueados por R3, pero pasan a conducción cuando se pulsa simultáneamente en los dos pulsadores S1 y S2, gracias a D3 y a la baja impedancia del ini-

ciador de arranque. Una vez arrancado, es la carga de C2 la que asegura la conservación del funcionamiento.C1, D1, C2, D2 y D3 protegen el montaje contra señales parásitas producidas por una carga que es cualquier cosa menos resistiva pura.Las pruebas y las medidas han sido efectua-das sobre una moto con motor GY6, tipo CJ12M. El consumo medio era de 53 A : 49 A en punto muerto bajo (compresión mínima) contra los 57 A en punto muerto alto (com-presión máxima). La caída de tensión medida en los extremos del montaje era rigurosa-mente idéntica al cálculo teórico. Después de tres horas de prueba, en tandas de un arran-que cada cinco minutos, no se percibió nin-gún calentamiento.

(100941)

Enlaces en Internet[1] www.elektor.es/100941

Especifi caciones principales:

• Dimensiones: 120 x 47 x 47 cm• Peso: 25 kg• Carga máxima: 90 kg• Motores: DC 2 x 200 W• Ruedas: PU, 14 cm de diámetro• Transmisión: correa dentada HDT• Velocidad máxima: 15km/h• Radio de acción: 8 km

El kit incluye de motores de tracción DC de 200 vatios, dos baterías AGM plomo-ácido de 12 V, cargador de batería, dos ruedas de Poliuretano de 14 cm, carcasa, palanca de control y placa de control con placa de sensores completamente montadas y comprobadas.

Art.# 110320-91 • 1095,00 €*

*IVA Incl., gastos de envío y descuento promocional excl. (Hasta el 1 de Agosto de 2011).

Más información y pedidos en www.elektor.es/ospv

Open Source Personal Vehicle

El vehículo autobalanceado Elektor OSPV está basado en

la misma idea y tecnología que el exitoso ElektorWheelie.

En el diseño hay sólo una diferencia: ¡es para uso interior!

Se conduce fácilmente, es ligero y plegable, hecho en

código libre y además tiene un bonito aspecto.

En primera instancia el OSPV está pensado para el

desplazamiento de personas, pero... no hace falta que

siga siendo así. Podrías inventar otras aplicaciones que

varían desde una carretilla eléctrica hasta una útil ayuda

para las compras. Esta es la ventaja del código abierto.

¡ Oferta por tiempo limitado !

100 € DE DESCUENTO

Pide antes del 1 de Agosto 2011

Elektor OSPV

Publicidad

Personal Download for I © Elektor

63414

Flowcode es uno de los lenguajes de programación gráfi co más avanzados del mundo para microcontroladores (PIC, AVR, ARM y, ahora también, dsPIC/PIC24). La gran ventaja de Flowcode es que permite a aquellos con poca o nula experiencia en programación crear siste-mas electrónicos complejos en minutos.

www.elektor.es/fl owcode

Nuevas características en Flowcode 4Flowcode 4 incorpora nuevas características que facilitan el desarrollo incluyendo:

Más información, productos y descargas gratuitas disponibles en

www.elektor.es/eblocks

• Creador de paneles• Depuración en circuito • Redes virtuales• Personalización del Código C• Icono Conmutador• Coma Flotante

• Funciones adicionales para strings• Soporte de Watchdog timer• Nuevo interfaz de usuario• Nuevos componentes • Rápido desarrollo USB

Los E-blocks son pequeñas placas de circuito que contienen cada una de ellas bloques de electrónica que se pueden encontrar típicamente en sistemas electróni-cos o embebidos. Hay una gama de más de 40 circuitos independientes; de sencillas placas de LED a placas más complejas como programadores de dispositivos, Bluetooth y TCP/IP. Los E-blocks se pueden agrupar para formar una amplia variedad de sistemas que pueden ser utilizados para la enseñanza/aprendizaje de electrónica y la realización rápida de prototipos de sistemas electró-nicos complejos. Están disponibles diferentes gamas de software complementario, currículo, sensores e informa-ción de aplicaciones.

Formula Flowcode es un vehículo robot de bajo coste que se emplea para enseñar y aprender robótica además de facilitar una plataforma para competir en certámenes de robótica. Entre las especifi caciones del buggy Formula Flowcode están la programación directa con USB, sensores para seguimiento de líneas, sensores de distancia, 8 LED en la placa, sensor de sonido, altavoz y puerto de expansión E-blocks. El buggy es adecuado para una amplia gama de ejercicios de robótica, desde el simple seguimiento de una línea a la resolución completa de un laberinto. La expansión mediante E-blocks permite añadir displays, conexiones con Bluetooth o Zigbee y GPS.

El MIAC (Matrix Industrial Automotive Controller) es una unidad de control de grado industrial que se puede utilizar para controlar una amplia gama de diferentes sistemas electrónicos incluyendo la detección, monitorización y automoción. Internamente el MIAC se basa en un potente dispositivo PICmicro de la serie 18 que se conecta direc-tamente al puerto USB y se puede programar con Flowcode, C o Ensamblador. Con la unidad se suministra Flowcode 4. MIAC se suministra con interfaz industrial bus CAN estándar que permite conectar en red varios MIAC.

La nueva herramienta Flowkit proporciona la depuración en circuito (In Circuit Debug o ICD) para una serie de aplicaciones Flowcode para proyectos con PIC y AVR:• Arranca, para, pausa o ejecuta paso a paso tus programas Flowcode en tiempo real• Monitoriza el estado de las variables en tu programa• Altera el valor de las variables• Depura en circuito tus proyectos Formula Flowcode, ECIO y MIAC

Los dispositivos ECIO son potentes microcontroladores programables con 28 o 40 pines con formatos DIL estándar (0.6”). Se basan en los microcontroladores PIC serie 18 y en los ARM serie 7. El ECIO es perfecto para su uso doméstico por los estudiantes, inclu-sión en proyectos y montar sistemas embebidos completamente integrados. El ECIO se puede programar con Flowcode, C o Ensamblador y las nuevas rutinas USB en Flowcode permiten un desarrollo ultra rápido de proyectos USB incluyendo HID USB, esclavos USB y bus serie USB (solo PIC). El ECIO se puede incorporar en tus propias placas para dotar a tus proyectos de la capacidad de ser programados mediante USB.

Los E-blocks son pequeñas placas de circuito que

La nueva herramienta Flowkit proporciona la depuración en circuito (In Circuit Debug

… para electrónica

Flowkit

Formula Flowcode es un vehículo robot de bajo coste que se Formula Flowcode es un vehículo robot de bajo coste que se

… para robótica

Los dispositivos ECIO son potentes microcontroladores programables con 28 o 40 pines

… para proyectos USB

Desarrollando y aprendiendo con Flowcode 4

ción de aplicaciones.

El MIAC (Matrix Industrial Automotive Controller) es una unidad de control de grado

… para control industrial

NUEVO

Anzeige Flowcode ES 110119.indd 2-3 24-01-11 17:33:54

Personal Download for I © Elektor

63414

Flowcode es uno de los lenguajes de programación gráfi co más avanzados del mundo para microcontroladores (PIC, AVR, ARM y, ahora también, dsPIC/PIC24). La gran ventaja de Flowcode es que permite a aquellos con poca o nula experiencia en programación crear siste-mas electrónicos complejos en minutos.

www.elektor.es/fl owcode

Nuevas características en Flowcode 4Flowcode 4 incorpora nuevas características que facilitan el desarrollo incluyendo:

Más información, productos y descargas gratuitas disponibles en

www.elektor.es/eblocks

• Creador de paneles• Depuración en circuito • Redes virtuales• Personalización del Código C• Icono Conmutador• Coma Flotante

• Funciones adicionales para strings• Soporte de Watchdog timer• Nuevo interfaz de usuario• Nuevos componentes • Rápido desarrollo USB

Los E-blocks son pequeñas placas de circuito que contienen cada una de ellas bloques de electrónica que se pueden encontrar típicamente en sistemas electróni-cos o embebidos. Hay una gama de más de 40 circuitos independientes; de sencillas placas de LED a placas más complejas como programadores de dispositivos, Bluetooth y TCP/IP. Los E-blocks se pueden agrupar para formar una amplia variedad de sistemas que pueden ser utilizados para la enseñanza/aprendizaje de electrónica y la realización rápida de prototipos de sistemas electró-nicos complejos. Están disponibles diferentes gamas de software complementario, currículo, sensores e informa-ción de aplicaciones.

Formula Flowcode es un vehículo robot de bajo coste que se emplea para enseñar y aprender robótica además de facilitar una plataforma para competir en certámenes de robótica. Entre las especifi caciones del buggy Formula Flowcode están la programación directa con USB, sensores para seguimiento de líneas, sensores de distancia, 8 LED en la placa, sensor de sonido, altavoz y puerto de expansión E-blocks. El buggy es adecuado para una amplia gama de ejercicios de robótica, desde el simple seguimiento de una línea a la resolución completa de un laberinto. La expansión mediante E-blocks permite añadir displays, conexiones con Bluetooth o Zigbee y GPS.

El MIAC (Matrix Industrial Automotive Controller) es una unidad de control de grado industrial que se puede utilizar para controlar una amplia gama de diferentes sistemas electrónicos incluyendo la detección, monitorización y automoción. Internamente el MIAC se basa en un potente dispositivo PICmicro de la serie 18 que se conecta direc-tamente al puerto USB y se puede programar con Flowcode, C o Ensamblador. Con la unidad se suministra Flowcode 4. MIAC se suministra con interfaz industrial bus CAN estándar que permite conectar en red varios MIAC.

La nueva herramienta Flowkit proporciona la depuración en circuito (In Circuit Debug o ICD) para una serie de aplicaciones Flowcode para proyectos con PIC y AVR:• Arranca, para, pausa o ejecuta paso a paso tus programas Flowcode en tiempo real• Monitoriza el estado de las variables en tu programa• Altera el valor de las variables• Depura en circuito tus proyectos Formula Flowcode, ECIO y MIAC

Los dispositivos ECIO son potentes microcontroladores programables con 28 o 40 pines con formatos DIL estándar (0.6”). Se basan en los microcontroladores PIC serie 18 y en los ARM serie 7. El ECIO es perfecto para su uso doméstico por los estudiantes, inclu-sión en proyectos y montar sistemas embebidos completamente integrados. El ECIO se puede programar con Flowcode, C o Ensamblador y las nuevas rutinas USB en Flowcode permiten un desarrollo ultra rápido de proyectos USB incluyendo HID USB, esclavos USB y bus serie USB (solo PIC). El ECIO se puede incorporar en tus propias placas para dotar a tus proyectos de la capacidad de ser programados mediante USB.

Los E-blocks son pequeñas placas de circuito que

La nueva herramienta Flowkit proporciona la depuración en circuito (In Circuit Debug

… para electrónica

Flowkit

Formula Flowcode es un vehículo robot de bajo coste que se Formula Flowcode es un vehículo robot de bajo coste que se

… para robótica

Los dispositivos ECIO son potentes microcontroladores programables con 28 o 40 pines

… para proyectos USB

Desarrollando y aprendiendo con Flowcode 4

ción de aplicaciones.

El MIAC (Matrix Industrial Automotive Controller) es una unidad de control de grado

… para control industrial

NUEVO

Anzeige Flowcode ES 110119.indd 2-3 24-01-11 17:33:54

Personal Download for I © Elektor

63414

44 7/8-2011 elektor

Amplificador de 40 W “sabor años 60”Joseph Kreutz (Alemania)

A principios de los años 60, RCA introducía en el mercado un transistor legendario: el 2N3055. Con un par de ellos podíamos cons-truir amplificadores audio, capaces de pro-porcionar 40 W eficaces sobre una carga de 8 Ω. El montaje que presentamos aquí se ins-pira directamente en el espíritu de esta época. Contando sólo con siete componentes activos por canal, su sencillez se adapta a los criterios de diseño vigentes en aquella época. El amplifi-cador propuesto aquí proporciona 45 W sobre 8 Ω, con una tensión de entrada de 0,5 Veff.La señal de entrada es aplicada a la base de T1, cuyo emisor recibe, a través del divisor R5 y R6, una señal de realimentación tomada de la salida. La corriente de colector de T1, pro-porcional a la diferencia entre estas señales, es aplicada sobre la base de T2. Este transis-tor, que proporciona la ganancia de tensión del amplificador, es alimentado por las resistencias R8 y R9. Manteniendo una tensión casi cons-tante a los extremos de R9, el condensador C6 produce un efecto de “bootstrap”: R9 propor-ciona, pues, una corriente independiente de la tensión de salida en la banda de frecuencias de audio.Los transistores T4, T5, T6 y T7 constituyen la etapa de potencia de simetría casi complemen-taria. A principios de los años 60, no existía ningún transistor de potencia PNP con carac-terísticas similares a las del 2N3055. El truco y astucia de los ingenieros de la época fue, pues, paliar esta falta con un par de Sziklay, que con-tenía un controlador PNP y un elemento de potencia NPN, de ahí la idea de la simetría casi complementaria tal como lo ilustra el esquema de la figura. El diodo D1 da simetría a la etapa de salida y contribuye, por lo tanto, a reducir su distorsión.El transistor T3 tiene como función el polari-zar y estabilizar el punto de funcionamiento de la etapa de potencia, a la que debe estar aco-plado térmicamente. Este amplificador está alimentado por una tensión asimétrica de unos 65 V, de acuerdo a los usos de la época. Así pues, su carga debe estar acoplada a la etapa de potencia por el condensador C10 de 4700 μF, el cual ofrece también una cierta pro-tección en caso de avería de los transistores de salida. El amplificador está desprovisto de limi-tación de la corriente de salida. Esta laguna no es forzosamente prohibitiva, pero implica un mínimo de prudencia. Además, el fusible lento de 1,6 A, en serie con la alimentación, limitará los daños en caso de producirse este problema.La alimentación consta de un transformador, un puente rectificador, cuatro pequeños con-densadores y un condensador electrolítico de

excederá los 20 K/W. En cuanto a los transis-tores T2, T6 y T7, estarán instalados sobre un radiador común que presentará una resisten-cia térmica máxima de 2 K/W, utilizando hojas aislantes y pasta termoconductora.Antes de su conexión a la tensión de alimen-tación, el potenciómetro P2 será ajustado a su resistencia máxima, se reemplazará el fusi-

4700 μF. Es suficiente para dos canales de un amplificador estereofónico. Instalado en la parte delantera del amplificador, el diodo LED1 indicará su encendido.El montaje no presenta ninguna dificultad par-ticular. Los transistores T3, T4 y T5 serán insta-lados sobre pequeños radiadores para encap-sulado TO126, cuya resistencia térmica no

P147k

P2

4k7

10T

R1

82k

R2

220k

1%

R3

270k

1%

R4

1k

R5

39R

1%

R8

820R

1W

R9

820R

1W

R10

6R8

R72k2

R61k5

1%

R11100R

R12100R

R13

68R

R14

68R

R16

0R33

5W

R17

0R33

5W

R15

68R

R18

10R

5W

R19

4k7

T3

BD139

T2

BD139

T4

BD139T6

TIP3055

T7

TIP3055

T1

BCT5

BD140

C1

100n

C4

100p

560C

C3

220u100V

C5

100n

C6

100u100V

C2

47u100V

D1

1N4007

C10

4700u100V

VPP

C9

100n

C7

220n

C8

220u100V

F1

1A6slow

110332 - 11

2x24

V;1x

48V

TR1

120VA

F1

S1

B13A 125V

C11

100n

C12

100n

C13

100n

C14

100n

C15

4700u100V

R20

6k8

D2

VPP

110332 - 12

Resultados de la medida sobre la carga resistiva de 8,2 Ω (valores dados a título indicativo)

THD 0,08 % principalmente armónico 3 a 1400 Hz ; nivel de salida 3 V.

Banda de paso29 Hz (-3 dB) a más de 100 kHz (-0,5 dB) ; 3 Veff de salida.

100 kHz a -3 dB con nivel de referencia de 18 V a 1.0 kHz

Tensión máx. de salida 19,5 V al límite de la saturación.

Personal Download for I © Elektor

63414

45elektor 7/8-2011

ble, provisionalmente, por una resistencia de 47 Ω y 5 W, y se conectará un voltímetro en los extremos de R17. Ahora, conectaremos el amplificador a la tensión de alimentación. El voltímetro deberá indicar 0 V. Seguidamente, P2 será ajustado prudentemente hasta obte-ner una lectura de 15 mV, lo que corresponde a una corriente de reposo de 50 mA. Quitaremos ahora la tensión de alimentación del amplifi-cador y sustituiremos la resistencia por el fusi-ble. Volveremos a verificar la tensión en los

extremos de R17 y ajustaremos P2 para volver a tener los 15 mV especificados.Divertido de montar, sin pretensiones y requi-riendo tan sólo unos pocos medios, este ampli-ficador presenta calidades de audio muy agra-dables. Las medidas de distorsión dan resulta-dos muy aceptables, incluso si no producen números con una cantidad impresionante de ceros después de la coma. Y no olvidemos también que se trata de explorar las técnicas de los años 60.

El autor ha diseñado dos placas, una para el amplificador y otra para la alimenta-ción. La información de planos de montaje y serigrafía está disponible en [1], en for-mato PDF, gerber y documentación CAD Easy-PC.

(110332)

Enlaces en Internet[1] www.elektor.es/110332

Detector de fallo de toma de tierra

Marco Mertz (Bélgica)

Viéndose impresionado con los accidentes por fallo en la toma de tierra, el autor elaboró minuciosamente este pequeño montaje. Los forman tres elementos: la bombilla de neón y su resistencia de origen, recuperados de un conmutador de una regleta de enchufes, por ejemplo, y un pequeño condensador (clase Y), recuperado sobre de la electrónica de una bombilla a bajo consumo. Una capacidad más

El detector de fallo de toma de tierra puede, pues, servir también como detector de fase.Todo se integra fácilmente en un enchufe de tensión de red, donde el autor ha protegido el neón con una pequeña tapa transparente.

(110218)

Lien internet[1] www.elektor.fr/110396

grande aumenta la luminosidad del neón. Todo ello por menos de 2 €.

El neón se enciende únicamente en presencia de una toma de tierra efectiva. Y, en casa del autor, esto funciona incluso con fase o neutro invertidos. En nuestro laboratorio tuvimos algunos problemas, descritos en el número de junio [1], ya que el circuito era sensible a la posición de la fase con respecto al neutro.

R1

68k

C1

4n400V

LA1

Neon

*

110218 - 11

Cable calienteAndreas Binner (Alemania)

En el juego del “cable caliente” hemos de guiar un aro de metal a través de un circuito de cable doblado sin que ambos lleguen a tocarse. La electrónica en este juego normal-mente se limita a delatar que ha habido con-tacto, mediante una señal acústica. El circuito aquí presentado hace más interesante este

con P1. IC2 está conectado como multivibra-dor para los tics y el sonido que indica que ha habido contacto entre el cable y el aro.Si el mono-estable está inactivo, la salida de IC1 (pin 3) se pone a nivel bajo. T1 fun-ciona como inversor. D2 está en el sentido de conducción, y junto con R8 y R4 forman un circuito en paralelo, el cual se encarga del

juego añadiendo funciones adicionales, como por ejemplo poder establecer la duración y añadir un tic constante durante el juego.

Dos timers integrados del tipo NE555 se encargan de estas funciones. IC1 funciona como mono-estable y regula el tiempo esti-pulado para el juego, que puede ajustarse

Personal Download for I © Elektor

63414

46 7/8-2011 elektor

sonido generado por IC2. R4 ha de dimensio-narse bastante mayor que R8. R8 determina el comportamiento de IC2, produciéndose un sonido grave.Si el mono-estable está activo, la señal de nivel alto en la salida de IC1 se invierte mediante T1. D2 no conduce, con lo que R8 no actúa en absoluto. Ahora, para IC2 sí tiene sentido que R4 sea mayor. En este caso la pro-porción entre R4 y R5, así como el dimensio-namiento de C4 determinan la duración de los pulsos y las pausas en el multivibrador, haciendo que los tics y las pausas entre ellos tomen más o menos tiempo.En realidad, el que se produzca o no un sonido depende del potencial en el pin 4 de IC2. Si la tensión de alimentación es de 9 V, inicial-mente el mono-estable estará activo y C1 sin tensión. El pin 4 de IC2 (reset) estará por lo tanto a nivel bajo y no se producirá ningún sonido. IC1 puede activarse por la entrada de disparo brevemente mediante un botón que vaya a masa (inicio del juego). C1 se carga a través de D1 e IC2 se encarga de los tics.La duración de los pulsos del mono-estable determina el tiempo de juego, puede ajus-tarse mediante P1. Si el tiempo de juego se ha terminado o si se ha conectado a masa la entrada de reset de IC1 (ha habido contacto entre el aro y el cable), el mono-estable pasa

primeros tests, y poder ajustar tanto los tiempos como el sonido. Una vez que todo esté correctamente dimensionado pode-mos pasarlo a una tarjeta definitiva. Para el montaje mecánico el autor se ha servido de un trozo de madera laminada como base. El “cable caliente” se monta sobre dicha lámina y debajo se conecta toda la electrónica necesaria.

(110100)

a estado de inactividad. Esto hace que IC2 genere el sonido grave. A la vez se cierra D1, con lo que C1 se descarga a través de la ele-vada resistencia R9. Una vez que la tensión ha desaparecido tras varios segundos, dejará de sonar y el circuito está listo otra vez para la siguiente ronda.

El circuito ha de montarse primero en una tarjeta de prototipo para llevar a cabo los

IC1

NE555N

DIS

THR

OUT

GND

VCC

TR

CV

2

7

6

4R

3

5

8

1

R3

10k

R6

10k

R2

680k

R8

1k

R9

330k

R4

*

*

R5

1k

P1

4M7

IC2

NE555N

DIS

THR

OUT

GND

VCC

TR

CV

2

7

6

4R

3

5

8

1C3

22u

C2

100u16V

C5

10n

C4 C6

10n

R733k

T1

BC547

D1, D2 = 1N4148

C1

22u16V 16V

D2

D1

LS1

8Ω0W2

S2

ON

BT1

9V

S1

ACTIVE

110100 - 11

Generador de caosLars Keuninckx, Vrije Universiteit Brussel (Bélgica)

El circuito aquí mostrado es una variación caó-tica de un oscilador de cambio de fase clásico. Lo gracioso de él, es que es sencillo y barato. Además sólo se necesita una única tensión de alimentación y ningún componente es real-mente crítico.Sin los componentes que hay dentro de la

línea de puntos, el circuito oscila de forma estable y hay un seno (deformado) en el colec-tor de T1. Las tres etapas RC se encargan de que haya un total de 180 grados de cambio de fase, de modo que se pueda mantener una oscilación – junto con el cambio de fase de 180 grados de T1.Con la adición de componentes extras den-tro de la línea de puntos, aparece una imagen completamente diferente. Cuando aumente la amplitud durante el arranque del oscila-dor, T2 empezará a conducir en un momento determinado. Esto hace que la resistencia R6 se una al bucle de realimentación y altere la relación de fase, por lo que el circuito ten-drá que afanarse en encontrar un nuevo equilibrio. Puedes conseguir que haya caos bajo circuns-tancias adecuadas; el circuito no encuentra ninguna situación estable, sino una serie de situaciones inestables que se encuentran muy próximas las unas de las otras.

T1

BC548B

T2

BC548B

R1

2k7

R6

12k

R5

10k

R210k

R310k

R410k

C2

1n

C3

1n

C4

1n

C5

1n8

P1

100k

C6

10u 25V

X Y Z

+6V...+15V

110107 - 11

Personal Download for I © Elektor

63414

47elektor 7/8-2011

Estas ‘órbitas’ forman el denominado ‘attrac-tor’ que se puede mostrar fácilmente en un oscilador en modo XY:

Canal 1 en X, AC, 0,5 V/divCanal 2 en Y, AC, 20 mV/divVcc: 6...16 V

Jugando con el potenciómetro P1 y la tensión de alimentación, puedes forzar al circuito a

salir de una oscilación estable y pasar al caos a través de la duplicación del periodo, lo que genera unas bonitas imágenes (ver foto). Modificando P1, R6, C5 y la tensión de ali-mentación puedes influir mucho en la forma del attractor.El circuito contiene cuatro elementos que almacenan energía, por eso el espacio de fase es en cuatro dimensiones. Lo que vemos en la pantalla del osciloscopio es en realidad una

proyección 2D de un attractor en un espa-cio 4D. Puedes mostrar otras proyecciones conectando, por ejemplo, los puntos Y y Z en vez de los puntos X e Y.

(110107)

Regulador de energíapara convector eléctrico de apoyo

Gérard Guiheneuf (Francia)

En otoño o en primavera, el clima puede ser tal que podemos desear economizar dete-niendo el sistema principal de calefacción de nuestra vivienda, aprovechando un calenta-miento complementario de apoyo basado en uno o varios convectores eléctricos.A pesar del uso de estos convectores eléc-tricos, cuyo consumo energético no es nada despreciable, es posible reducir dicho con-sumo intercalando entre la tensión de red y los convectores eléctricos mencionados, un regulador de energía que va a actuar sobre el consumo energético efectivo de los convectores.El esquema electrónico descansa en la puesta en marcha de emblemático circuito integrado NE555, utilizado aquí como aestable, con una relación de ciclo variable (D = thigh/ T), pero a una frecuencia de funcionamiento fija, dada por:

f = 1 / (0,693 x P1 x C6) = 0,0654 Hz

En función de la posición del cursor del poten-ciómetro P1, la relación de ciclo D de la señal proporcionada a la salida (terminal 3) del cir-cuito integrado IC2, cambia de valor:

•   Si el cursor está situado a mitad de reco-rrido del potenciómetro P1, la relación de ciclo D vale 0,5;

•   Si el cursor es llevado a la tensión de +12 V, la señal en salida de IC2 es nula y D = 0;

•   Si la posición del cursor es llevada a la ten-sión de C6, la salida de IC2 proporciona una tensión constante de cerca de 11 V y D = 1.

ción del convector (RL): un triac restituye la parte alterna positiva mientras que otro triac aplica la parte alterna negativa. El sobredi-mensionamiento de los triacs (intensidad efi-caz nominal elevada: 16 A), combinado con su montaje en paralelo y la alternancia de sus conmutaciones, pretende reducir el calenta-miento de ambos componentes y reducir el volumen de disipadores térmicos a montar.

A través del transistor T1, IC2 controla dos fototriacs MOC3021 (IC3 e IC4) que constitu-yen el interfaz de aislamiento galvánico entre la parte de “control” del esquema y la parte de “potencia” del esquema que está conec-tada directamente a la tensión de red.Cada fototriac controla un triac de potencia (TRI1 y TRI2). Estos dos triacs están conec-tados en paralelo y comparten la alimenta-

K2

K1

F2

10A

RL

2000W

7812IC1

MOC3021

IC3 6

4

1

2

MOC3021

IC4 6

4

1

2

TRI1

BT139600

TRI2

BT139600

D5

D1

D6

R568R

R668R

R4

1k

R3

1k

D3

T1

2N2222

R2

47k

NE555

IC2DIS

THR OUTTR

CV

2

7

6

4

R

3

5

8

1

D4

+12V

P1

100k

C6

220u

C1

1000u

C3

100u

25V

25V 25V

C5

10n

C2

100n

C4

10n

R1

1k

D2

+12V

TR1

2VA2

B1

F1

250mA P

230V

230V

N

110185 - 11

230V 12V

Personal Download for I © Elektor

63414

48 7/8-2011 elektor

Experimentalmente, esta solución da lugar a un calentamiento débil de los disipadores térmicos cuando el regulador está alimen-tando permanentemente (relación de ciclo D = 1) un convector, cuya potencia nominal es de 2.000 W.En cuanto a la energía consumida por el con-vector, precedido de su regulador, basta con aplicar la fórmula simple de W = P x t x D, donde:

Ejemplo: para una relación de ciclo D de 0,5 y un tiempo de funcionamiento de una hora, el convector de 2.000 W va a consumir 1 kWh.

(110185)

Enlaces en Internet[1] www.elektor.es/110185

•   W: Energía eléctrica consumida en vatios-hora (Wh)

•   P: Potencia nominal del convector, en vatios (W)

•   t: Tiempo de funcionamiento del conjunto convector y regulador, en horas (h)

•   D: Relación de ciclo fijada por el ajuste del potenciómetro P1

Lámpara solar RGBMarcel Ochsendorf (Alemania)

Esta lámpara solar de lujo utiliza una batería y célula procedentes de otra lámpara del mismo tipo reciclada, que incorporaba cuatro baterías (con 4,8 V de tensión nominal).El circuito puede utilizarse con cual-quier tensión dentro de ese rango y consume una corriente de tan sólo unos 20 mA. Con una batería de 4,5 V dispondremos de un tiempo de funcionamiento de unos cinco días.El circuito consta de un micro -controlador ATtiny de Atmel, que regula direc tamente mediante tres puertos un LED rojo, amarillo y azul, y por supuesto las resistencias correspondientes para limitar la corriente de éstos. Dicho microcon-trolador se encarga de los LEDs uno tras otro, obteniendo una luz RGB secuencial. También

de luminosidad nos servimos de la célula solar de una lámpara estro-peada (realmente sólo estaba mal la batería).La potencia de la célula solar es totalmente irrelevante, ya que el microcontrolador únicamente eva-lúa la tensión de ésta mediante el conversor A/D interno en PB4. Si utilizamos un microcontrolador ya programado (disponible en la tienda Elektor, artículo nº 100581-41) el proyecto es perfectamente apto para principiantes.El autor ha desarrollado el firmware con Flowcode. Tanto el código fuente como el hexadecimal pue-den descargarse gratis desde la página de proyecto de Elek tor

www.elektor.es/100581. (100581)

enciende los LEDs según la oscuridad o clari-dad (en este caso los apaga). Como sensor

PB1/AIN1/CC0B/INTPB0/AIN0/OC0APB3/CLKI/ADC3

PB5/RST/ADC0 PB2/ADC1/T0ATTINY13

PB4/ADC2

IC1VCC

GND

8

4

71

23 6

5R2 D2

R1 D1

R3

470R

470R

470R

D3

BT14V5

BT1SOLAR

C1

V+

100581 - 11

Multi-intermitente de LEDsBurkhard Kainka (Alemania)

El primer circuito de la figura 1 muestra un intermitente de LEDs para funcionar direc-tamente desde la red con seis canales: los seis LEDs parpadean de forma totalmente asíncrona, con lo que en conjunto obtene-mos un patrón caótico. Al mismo tiempo, debido a su reducido consumo de tan sólo 0,2 W, el circuito también sirve como lám-para intermitente económica. En Internet

mente si utilizamos un valor mayor de 100 k para la resistencia de carga R1 o colocamos una resistencia adicional (en la propia línea del circuito).Un inconveniente del circuito es el peligro que supone el hecho de estar conectado directamente a la red, a 230 V. Esto hace que sea peligroso tocar cualquier parte del cir-cuito. Por ello, es imprescindible introdu-cir el circuito en una carcasa de plástico ais-

puede verse un pequeño video [1] del inter-mitente en acción. El diseño es utilizado por el autor en esta edición de Elektor en los circuitos con flip-flops NPN. Cada uno de los seis flip-flops NPN conectados en serie obtiene la misma corriente de carga. Variando las capacidades de los condensadores electrolíticos influimos en la frecuencia del parpadeo y la luminosi-dad. Aparte, el circuito parpadea más lenta-

Personal Download for I © Elektor

63414

49elektor 7/8-2011

lada, equipada con un cable adecuado (véase advertencia de seguridad en Elektor y [2]). Para evitar un peligro de este tipo, la figura 2 muestra una versión del circuito diseñada para funcionar a baja tensión, en un margen

de 12 a 24 V. Los flip-flops NPN aquí están conectados en paralelo con la tensión de ali-mentación. De este modo también pueden construirse largas cadenas de intermitentes.

(110193)

Enlaces:

[1] www.youtube.com/user/bkelektronik#p/u/6/lqr-YTf3b9U

[2] www.elektor.es/sicherheit

D1

1N4007

C1

100u

D2T1

C2

47u

D3T2

C3

100u

D4T3

C4

47u

D5

C5

100u

D6

C6

47u

16V 16V 16V

16V16V16V

D7

R1100k

0W5

110193 - 11

110V...250V

T4T5T6

T1...T6 = BC548A

BT1

12V...24V

R1

10k

D1

T1C1

100u

R2

10k

D2

T2C2

100u

R3

10k

D3

T3C3

100u16V 16V 16V

110193 - 12T1...T3 = BC548A

1 2

Contenedor de tiempoJochen Brüning (Alemania)

En algunas aplicaciones de los microcon-troladores, por ejemplo aquellas que inclu-yen funciones de logging o tracking, gene-ralmente hace falta la hora actual e incluso también la fecha muchas veces. Un reloj en tiempo real (Real Time Clock, RTC) con backup por batería como el integrado RTC DS1307 se encarga de suministrar tal infor-mación. Este componente puede integrarse en el circuito con algunos componentes adi-cionales mínimos. Además, los requisitos en cuanto a programación son bastante reduci-dos, existiendo ya módulos, funciones intrín-secas, archivos de cabecera o librerías para muchos de los entornos de programación más comunes. En la red también podemos encontrar numerosos pro-gramas de ejemplo.H a s t a a q u í n i n g ú n p r o b l e m a , salvo que el reloj tiene que fun-cionar desde el principio durante un número determinado de años, y gracias a la batería de backup incluso cuando el sistema esté des-conectado. En este caso excepcional hemos de tomar ciertas precaucio-nes. Por un lado en el programa, y por otro también en el hardware, ya que aparte de un botón para confi-gurarlo y un display, no deberíamos necesitar nada más.

El “contenedor de tiempo” aquí presen-tado soluciona este problema, ya que rea-liza la función de integrado RTC con la bate-ría y cuarzo disponibles en un periférico en forma de módulo conectable. Para ello uti-lizamos el circuito mostrado, construido en una pequeña tarjeta (perforada). Aparte del integrado, el cuarzo y la batería, se necesita un condensador de desacoplo (C1), dos resis-tencias (opcionales) para las salidas en colec-tor abierto del integrado, así como un zócalo para éste con patillas extra largas (también puede consistir en dos conectores de pines). El nuevo circuito RTC (ver la foto) ahora es portátil y puede “reemplazarse” de un cir-cuito a otro, sin que perdamos el tiempo con-

figurado. Los únicos requerimien-tos son utilizar el zócalo adecuado y cablearlo debidamente en cada circuito.La principal ventaja es que en el nuevo circuito sólo tendremos que realizar la función de lectura del tiempo. Los controles del reloj pue-den separarse también, integrán-dolos en la propia tarjeta perforada conectable con el circuito al com-pleto. Tras el (primer) ajuste, el “con-tenedor de tiempo” con la hora en marcha puede colocarse en cualquier otro destino, ¡basta con conectarlo!

(110285)

X1

32.768MHz

BT1

3VDS1307

IC1

VBAT

SQW

VCC

GND

SCL

SDA

X2

X1

2

8

4

6

7

1 5

3

R1

10k

R2

10k

C1

100n

110285 - 11

SCL

SDA

VCC

GND

Personal Download for I © Elektor

63414

50 7/8-2011 elektor

Comenzando con nuestra placa gratuita LPCXpresso

Clemens Valens (Francia)

Si estáis entre los autores que han participado en esta edición de Elektor con uno o varios artículos, sabed que habéis sido (o seréis) premiados con un pequeño, pero bastante

potente, regalo que os ofrece ama-blemente NXP. Así pues,

¿cuál es exac-

tamente es e r e gal o y qu é podemos hacer con él?LPCXpresso: un desarrollo conjunto de NXP (ellos lo propusieron) [1], Embedded Artists (hardware) [2] y Code Red Technologies (software) [3]; es una plataforma de creación de prototipos barata para los nuevos micro-controladores ARM Cortex-M0 y -M3 de NXP. Aunque son bastante pequeños, estos CIs son lo suficientemente potentes como para conte-ner procesadores de 32 bits con memoria flash y RAM, además de muchos periféricos poten-tes y útiles. El controlador se monta sobre una mitad de una PCI azul, larga y delgada, junto con un cristal de cuarzo y un LED, con espacio disponible para (¡mbed compatible!) conecto-res de extensión e incluso una modesta zona para prototipos. La otra mitad de la placa, real-mente, algo menos, es una zona de progra-mación/depuración que se conecta con el PC por medio de un conector mini-USB. La zona soporte puede ser separada del controlador una vez que nuestra aplicación está lista, cor-tando la placa en dos (¡algo que está lejos de ser fácil de hacer aquí!).Existen varios modelos de estas placas que sólo se diferencian en el microcontrolador montado. Elektor proporciona placas que tie-nen un dispositivo LPC1114 Cortex-Mo con 32 KB de memoria flash, 8 KB de memoria RAM, UART, SPI, I²C, CAD y temporizadores. Señalar que la UART es capaz de trabajar con RS-485, lo que hace a esta placa muy útil para aplica-ciones de ElektorBus.Sin embargo, LPCXpresso es más que una placa azul y delgada, ya que incluye herra-

en este enlace para abrir el diálogo de Impor tación,

después hacemos ‘clic’ en Browse… y ‘navegamos’ hasta

el fichero LPCXpresso1114.zip, en la carpeta examples/NXP/

LPC1000/LPX11xx. Selecciona-mos el archivo zip y pulsamos

sobre Abrir (“Open”), seguido de “Next” (Siguiente). Ahora marca-

mos los ejemplos que queremos importar (aconsejo marcar todos) y

hacemos ‘clic’ en “Finish” (Acabar).Si no lo hemos desmarcado, ya tendremos un proyecto llamado LPCXpresso1114_blinky. Es el más fácil para probar y ver si todo funciona bien. Si lo seleccionamos, podemos construirlo desde el menú ‘Start here’. También podemos cons-truir todos los proyectos con un simple ‘clic’, pero esto lleva algo más de tiempo. Así pues, construimos el proyecto y observamos los men-sajes que aparecen en la ventana ‘Console’; no debería haber ningún mensaje de error o adver-tencia. Si por la razón que sea tenemos un error o una advertencia, hacemos ‘clic’ en la pestaña “Problems” (“Problemas”) para obtener más información. Un doble clic en una línea en esta ventana nos llevará al código con problemas.Después de una “construcción” del código correcta, podemos correr el programa en nuestra placa LPCXpresso. Conectamos la placa al PC y pulsamos en Debug ‘LPCX-presso1114_blinky’. Hay que señalar que, para que esto funcione, deberemos haber ins-talado primero los controladores de LPC-Link (localizados en la subcarpeta Drivers\LPC-Link\ del directorio de instalación de LPCX-presso). El IDE ejecutará el controlador LPC-Link, cargará el ejecutable en la placa y saltará

mientas de desarrollo soft-ware gratuitas para Linux y Windows (‘incluir’ no es realmente el término correcto a usar ya que tenemos que descargar todo esto, nosotros mismos, por Internet). Las herramientas software vienen en un agradable paquete de un entorno de desarrollo integrado basado en Eclipse, con su potente editor y el compilador GCC, junto con la “suite” de “linkado” y depuración para el ARM. Simplemente tendremos que correr el ejecutable descargado para instalar las herramientas. Este proceso también insta-lará muchos ejemplos de código que pode-mos probar. Tendremos que crear una cuenta antes de descargar todo, así como registrar el software después de la instalación pero, una vez que hemos introducido los números de serie recibidos por correo electrónico, esta-remos listos para comenzar. El proceso de registro soporta las actualizaciones, por lo que sólo tendremos que pasar por él una vez.La LPC Xpresso tarda un poco en arran-car, pero cuando está finalmente lista nos ofrece un menú de acceso rápido llamado ‘Start here’, que contiene las funciones más importantes (y algunas más) que usaremos a menudo, como “new project” (nuevo pro-yecto), “build & debug” (construir y depurar). Aquí también encontraremos una opción para importar proyectos ejemplo. Hacemos ‘clic’

Personal Download for I © Elektor

63414

51elektor 7/8-2011

a la primera instrucción del programa ‘main’. El fichero fuente C que contiene esta senten-cia se abre automáticamente en el IDE.Ahora, hacemos ‘clic’ en el botón Resume (el pequeño triángulo verde, o presionamos F8, o desde el menú Run) para permitir que el pro-grama se ejecute. El pequeño LED rojo, cerca del procesador, comenzará a parpadear a una frecuencia de 1 Hz. Si conseguimos esto sin problemas (y, francamente, no veo por qué no puede ser así), estamos conectados y fun-cionando. ¡Ya podemos comenzar a escribir nuestras propias aplicaciones!

Si conseguís realizar un proyecto interesante, por favor no dudéis en enviárnoslo, estare-mos encantados de evaluarlo y publicarlo en Elektor. (Y, tal vez, conseguiréis otra LPCX-presso, etc. etc., lo que me recuerda que no conseguí una placa aunque yo escribiera este artículo…)

Para aquellos que no os habéis hecho con una placa LPCXpresso gratuita, podéis comprar una en la mayoría de los principales provee-dores de componentes o directamente en [2].

(110448)

Enlaces en Internet[1] http://ics.nxp.com/lpcxpresso/

[2] www.embeddedartists.com/products/lpcxpresso/

[3] http://lpcxpresso.code-red-tech.com/LPCXpresso/Home

[4] http://elektorembedded.blogspot.com

Mejora para hub USBKurt Bohnen (Alemania)

Los hubs USB que se alimentan directamente del PC pueden causar problemas cuando conectamos dispositivos con altos consumos energéticos. Esto ocurre principalmente en cables USB largos y finos, en los cuales hay una gran caída de tensión.Quien aún así no quiera deshacerse de su viejo hub USB, con este pequeño circuito dispon-drá de una fuente de alimentación externa. Se separa la línea de alimentación a 5 V del cable USB en el hub y se suelda un diodo (D1) en el

sentido en que conduzca. Posteriormente en el cátodo de dicho diodo se conecta la toma de 5 V de la fuente de alimentación externa. D1 evita que cualquier corriente de la fuente pueda dirigirse de nuevo al PC.

(100474) K1

21

3

5V 2A

D1

1N5400

+5VUSB +5VHUB

GNDUSB GNDHUB

100474 - 11

Protección antirrobo para el OBDFlorian Schäffer (Alemania)

Los vehículos modernos, tanto coches como camiones, incluyen un sistema antirrobo inte-grado para inmovilizarlos. Sin embargo, los ladrones también se adaptan a los tiempos que corren. Los antirrobos modernos dispo-nen de un sistema electrónico para desacti-var el inmovilizador con la marcha, y volverlo a activar otra vez con una llave de fábrica. En muchos casos la conexión para desacti-var dicho inmovilizador se hace mediante el puerto OBD-2 estándar.Ciertamente el protocolo OBD-2 por sí mismo no ofrece acceso al sistema antirrobo, pero está abierto a que los fabricantes lo utilicen para otras tareas, tanto mediante los pines de señal normales del OBD-2 como otras conexiones generalmente no utilizadas de este conector. En ese caso podríamos decir que existe la posibilidad de violar el sistema

materias tecnológicas un vehículo de varios miles de Euros, por unos pocos de ellos. La idea es increíblemente simple: si desconec-tamos las señales del conector OBD, pode-mos garantizar que no funcionará ninguna herramienta malintencionada.Para ello, hemos de seccionar las líneas de la señal en el propio conector (que se encuen-tra en la cabina, alrededor del sitio del con-ductor) mediante un interruptor. Éste ha de estar lo más inaccesible posible (oculto). En funcionamiento normal el interruptor garan-tiza que las líneas de señal del conector OBD estén separadas. Si quiere iniciar un diagnós-tico del vehículo o llevar el coche al taller, sim-plemente habilitamos otra vez el conector OBD activando el interruptor.En la imagen de ejemplo mostrada sólo se seccionan las líneas ISO-K y L. Sin embargo, lo más recomendable es seccionar todas las

antirrobo de forma electrónica. Sin embargo, los lectores de Elektor estarán al tanto de cómo proteger de los ladrones formados en

OBD

12

11

10

16

15

14

13

3

2

1 9

8

7

6

5

4

S1

110287 - 11

ISO-K ISO-L

CAN-H CAN-L

J1850+ J1850-

GND

GND

VCC

ISO-K

ISO-L

Personal Download for I © Elektor

63414

52 7/8-2011 elektor

líneas, ya que no sabemos cuales de ellas controlan el dispositivo de inmovilización. Sólo hemos de mantener las conexiones de masa en el pin 4 y 5, así como la tensión de batería en el pin 16. De este modo nos asegu-

ramos que nuestra medida sea efectiva con-tra todos los protocolos existentes (como el bus CAN) y que no funcionará bajo ninguna circunstancia por muy preparado que esté el ladrón. Si el sistema de desactivación es ali-

mentado con tensión por el propio ladrón, aún así, “inexplicablemente” no podrá esta-blecerse la comunicación con la electrónica del vehículo.

(110287)

Temporizador de 2-4-6 horasPhilippe Schmied (Suiza)

He aquí un montaje simple, reali-zado para controlar un relé está-tico durante una duración selec-cionable entre dos, cuatro o seis horas. E ste dispositivo com -pleta un montaje del autor para controlar una calefacción a distan-cia, por medio de un teléfono. El motivo del circuito es el de evitar el riesgo de que la calefacción per-manezca funcionando más allá de una cierta duración (en el entorno de una segunda vivienda) si, en caso de problema, no hay nadie para pararla o volverla a poner en funcionamiento cuando no hay helada.Un pulso de un segundo (o más), sobre el ter-minal 6 del microcontrolador activa el tempo-rizador y la salida se activa. Cuando ha trans-currido el tiempo seleccionado, el microcon-trolador desactiva la salida. La duración se selecciona por los micro-interruptores conec-tados a los puertos GP2 y GP3:

Cuando tengamos que elegir un relé para utilizar con este montaje, deberemos pensar en la corriente máxima de 25 mA que el micro puede pro-porcionar en su salida. Optare-mos por un relé estático mejor. Encontraremos varios ejemplos en este mismo número.El programa ha sido escrito en Flowcode y el proyecto está disponible en [1]. Para los que no disponen de Flowcode, el proyecto incluye también un fichero en C y en ensamblador, así como un fichero HEX. El microcontrolador pre-progra-mado (un PIC12F675 en encap-

sulado DIL8), está disponible en la tienda de Elektor, bajo la referencia 110219-41.

(110219)

Enlaces en Internet[1] www.elektor.es/110219

GP2 GP3 Duración0 0 0 h

0 1 2 h

1 0 4 h

1 1 6 h

GP2/T0CKI/INT/AN2

GP1/AN1/VREF

PIC12F675GP5/OSC1

GP3/MCLR

GP4/OSC2

GP0/AN0IC1

VDD

VSS

7

1

28

45

6

3X1

4MHzC1

15p

C2

15p

K11

R1

4k7

R4

4k7

S1

ON

4

1 2

3

1 2

R5

4k7

K21

R3330R

R2330R

VCC

CONTROL

RELAY

110219 - 11

ATM18 – Tres termómetros, un solo hiloGrégory Ester (Francia)

En este montaje, un ATM18 [1] controla la comunicación y representa la unidad maes-tra, mientras que los sensores DS18S20 son las unidades esclavas. Los DS18S20 responden a las órdenes del maestro reenviándole la tem-peratura que miden.Nuestro montaje permite medir temperatu-ras que irán desde -55 °C a +125 °C, con una resolución de 9 bits y una precisión de ±0,5

unos pocos mA. Sabiendo que la mayoría de ellos consumen menos de 100 μA, habrá que vigilar el número total de componentes pre-sentes sobre este bus. Sin embargo, siempre es posible alimentar localmente ciertos com-ponentes de la casa Dallas, aplicando una ten-sión permanente de 3 a 5,5 V.Cada componente “1-Wire” posee una clave única 64 bits que lo identifica. Los 8 bits de menor peso de esta clave contienen el iden-

°C de -10 °C a +85 °C. No obstante, la resolu-ción puede ser mejorada por medio de un cál-culo que abordaremos más adelante y que es explotado en el micro-programa escrito en BASCOM-AVR [2].Los sensores toman la alimentación del bus (modo parasite power, es decir, alimentación parásita) aprovechando los numerosos ins-tantes en que éste último se encuentra a nivel lógico alto, proporcionando una corriente de

Personal Download for I © Elektor

63414

53elektor 7/8-2011

DS18S20VDD

VDD

GNDDQ

DQ

1

2

3

DS18S20VDD

GND

GND

DQ

1

2

3

DS18S20VDD

GNDDQ

1

2

3R1

4k7

ATM18

+5V

PD5

110398 - 11

PD1

GND

RXGNDHYPERTERMINAL

DS1820

tificador de la familia. El código 10h corres-ponde a la familia de los sensores DS18S20, lo que permite diferenciar por tipo sensores “1-Wire” de diferente familia que pueden encontrarse sobre el mismo bus. El scrat-chpad es una memoria interna del DS18S20 que contiene los datos que nos serán útiles para calcular la temperatura medida.En un primer momento, el programa cal-cula el número de sensores presentes en el bus y coloca en la memoria, en una tabla, los identificadores únicos que son enviados, del octeto de mayor peso al octeto de menor peso, hacia el hyperterminal.

A continuación, son ejecutados los comandos CCh + 44 h, que ordenan a todos los sensores efectuar la conversión de temperatura, con lo que los scratchpad son actualizados automáti-camente con los nuevos valores, con un total de nueve octetos por scratchpad.Seguidamente, nos podemos dirigir a cada sen-sor, de forma individual, solicitándole informa-ción, gracias a su identificador único, seguido del comando BEh. Así, cada vez, podemos relle-nar una tabla con el contenido de los nueve octetos del scratchpad del sensor en cuestión.

La temperatura puede ser negativa y es, en ese momento, cuando pasamos a usar el complemento a 2 para expresar el resultado presente en la memoria del sensor. El noveno bit corresponde a las decenas. Podemos cal-cular una temperatura, con resolución supe-rior a 9 bits, utilizando los datos count remain y count per C, octetos 6 y 7 del scratchpad. El número count per C es rellenado en fábrica con el valor 16 (10h). El valor temp read se obtiene separando el bit de 0,5 °C (bit 0 del octeto de menor peso). De esta forma, la

temperatura puede ser calculada de forma más precisa, según la ecuación:T = temp read – 0,25 + (count per C–count remain) / count per CEs este valor calculado el que es enviado hacia el hyperterminal para cada uno de tres sensores.

(110398)

Enlaces en Internet[1] www.elektor.es/atm18

[2] www.elektor.es/110398

Reloj en MorseRalf Beesner (Alemania)

Esto sí que es tener estilo: el circuito de reloj presentado no sólo da la hora en código Morse, ¡sino que se controla por completo mediante un solo botón en Morse! Tiene hasta alarma.Al diseñarlo nos percatamos de que no hacía falta un cuarzo a 32 kHz ni un Atmega con modo especial de bajo consumo para reloj. Incluso si utilizamos un cuarzo estándar de 3,6864 MHz y un ATtiny45, el consumo en su modo de espera entra dentro de los lími-tes aceptables para el funcionamiento con baterías. En funcionamiento normal, esto son 0,2 mA, lo cual equivale más o menos a 1,8 Ah en un año.

El cuarzo ha de conectarse en el ATtiny45 en las entradas PB3 y PB4. El zumbador en PB0; para el contacto de la línea y el punto sólo nos quedamos con PB1 y PB2. Aparte del micro-controlador, el cuarzo, el zumbador y los dos botones, lo único que falta es un condensador

preferible, ya que así el reloj siempre será lige-ramente más rápido, lo cual puede regularse con facilidad mediante software (introdu-ciendo pequeños intervalos de espera).El reset rara vez es necesario. En la imagen del prototipo podemos verlo a modo de micro-pulsador, en el esquema del circuito y la tar-

para la tensión de alimentación y un poten-ciómetro de ajuste para el altavoz. El cuarzo funciona con las capacidades recomendadas en la hoja de datos (de 12 a 22 pF). El oscila-dor todavía resuena de manera estable, la fre-cuencia es sólo algunas centenas de Hz por encima. Sin embargo esto es absolutamente

ATTINY45RESET

IC1PB1 PB0

PB2

PB3

PB4

8

4

7

1 2

3

6 5

X1

3.6864MHz

P1

10k

BZ1

S2

S1

RA

RB

+3VC2

220n

110170 - 11

Personal Download for I © Elektor

63414

54 7/8-2011 elektor

jeta recomendada por el autor [1] se ha imple-mentado un contacto específico para ello.La tensión de alimentación de 3 V se obtiene de dos pilas AA. La tarjeta se ha diseñado de modo que puedan atornillarse en la parte de atrás dos portapilas.

El reloj se controla por completo mediante códigos en Morse. Tras meter las pilas el reloj marcará las 0 horas. Estará activa la alerta de los cuartos (en adelante llamada “gong”).Están disponibles las siguientes instrucciones (de un carácter):

? Listado de instruccionesZ Fijar el tiempoT Preguntar el tiempoG Gong (percusión) on/offC Chequeo: salida del estado del gong,

estado de la alarma, y demásM Ajustar la velocidad del MorseW Fijar la alarmaA Alarma on/offE Detener la alarma (esto se ejecuta pul-

sando el botón del punto)K Ajustar los segundos de la corrección (de

1 a 9 s) (velocidad del reloj)

Las instrucciones para ajustar el tiempo requieren un número de cuatro cifras (sin

espacios ni marcas entre caracteres). Las ins-trucciones del tipo on/off requieren un “1” o un “0”, y la velocidad del código Morse se da mediante un número de dos cifras. Una vez introducidos los números, éstos se repi-ten. Si no se trata de números, sino de otros caracteres, salta automáticamente el men-saje “RPT” (repeat). Si el número de carac-teres es menor del esperado, tras un tiempo de espera también se emite “RPT”. En ambos casos el reloj vuelve al modo de espera, lo cual significa que tendremos que introducir la instrucción de nuevo antes de volver a dar el/los número/s.En la subrutina de la velocidad del código Morse se comprueba adicionalmente que dicha velocidad entre dentro de la lógica (entre 10 y 30 WPM). Si no es el caso, salta el mensaje “RPT” y la velocidad se fija de nuevo a 20 WPM, con lo que el reloj sigue siendo manejable.En el software actual no se comprueba a fondo la lógica, y es posible introducir entra-das de tiempo como “1299”. Los caracteres se repiten con cada entrada, y el usuario ha de ver que tengan sentido. Sólo se muestra el mensaje “RPT” con entradas de tiempo mayo-res de 2359.Tanto el software como el código fuente pue-den descargarse como siempre de la página

de Elektor [1]. La parte más importante del programa es la rutina de interrupciones, que el timer dispara cada segundo. Va sumando segundos y los convierte a minutos. Al termi-nar un día (1440 min.), los minutos en el pro-grama principal se ponen otra vez a cero.

El programa principal sólo invoca breve-mente al cálculo del tiempo y al muestreo de los botones, y hasta la próxima interrupción permanece en modo de espera. Para que el reloj reaccione sin demora a la hora de pulsar los botones, para PB1 y PB2 se activan las “Pin Change Interrupts” (interrupciones de cam-bio en el pin).

Lamentablemente, debido al cuarzo que sería necesario, no podemos utilizar el modo de “powerdown” (en él, se desactivan casi todos los bloques funcionales del microcontrolador mediante una sola entrada en el registro). En el modo de espera la mayoría de ellos apenas consumen energía; hemos de desconectar-los individualmente. El autor ha utilizado los registros PRR y DIDR0, sin embargo, existen otras muchas posibilidades a la hora de aho-rrar energía.

(110170)

[1] www.elektor.es/110170

Electrónica para bombas controladas por nivelGuntram Liebsch (Alemania)

Mediante el circuito aquí mostrado puede d e s co n e c t a r s e u n a b o m b a a u n n i ve l deseado, y volverla a encender posterior-mente con otro nivel distinto. El autor ha estado barajando distintas posibilidades. Las bombas comerciales con interruptores de flotador no eran la solución, pues utili-zarlas supone un peligro dada su gran capa-cidad de succión.Aquí presentamos el método más fiable. La diferencia de nivel, y con ello la cantidad de agua definida que ha de bombearse, se obtiene de la distancia entre dos electro-dos en un circuito muy simple, que el autor ha utilizado en su cuarto de bombas (den-tro de la bodega) durante unos 10 años, con el fin de mantener las variaciones oca-

El circuito se ha mantenido lo más simple posible para que sea lo más robusto posible. Las puertas de IC2A y IC2B forman una etapa biestable conectada mediante dos electrodos; y todo ello con un sólo integrado CMOS, real-mente asequible. Como elemento conmuta-dor se utiliza un relé que puede activar bombas tanto de 12 V como las convencionales de 230 V. El autor se sirve de ambas: una de 12 V como bomba principal, y otra normal en caso de fallo, que sólo se activa con un mayor nivel; por otra parte, decir que este fallo no se ha dado nunca. El sistema de 12 V está alimentado mediante una batería de coche (12 V/70 Ah), que se recar-gar regularmente. En el circuito pueden verse dos relés, y de hecho la tarjeta ofrece la posibi-lidad de montar dos distintos. No obstante, en este caso sólo se utiliza uno.

sionales en el nivel del agua bajo un cierto margen.Aparte, el circuito puede utilizarse en dos situaciones:

1. Para mantener el agua residual de un pozo negro bajo un cierto nivel inferior al límite. Una diferencia en el nivel de unos 2 cm (= 3 litros) será bombeada fuera. Debido a que la diferencia de nivel es muy pequeña no hay peligro de inundaciones bajo la casa que puedan desplazar el terreno.

2. Si hay que vaciar la caldera del sótano, por ejemplo para cambiarle una pieza, se bom-bea el agua a un tanque auxiliar y de ahí gracias a un regulador con bomba direc-tamente al jardín, sin que tengamos que supervisar este proceso.

Personal Download for I © Elektor

63414

55elektor 7/8-2011

78L05ZIC1

R147R

IC2.A12

3&

IC2.B65

4 &

IC2.D1312

11&

IC2.C8910

&

IC214

7

R4220R

100k

100k

220R

R2C1

22n

D1

C2

1u

R3

D2

T1

VN10KM

R5

RE1

RE2

351

V23127B

D3

1N4004

K3

K21

K11 EL3 MAX

MIN

GND

EL2EL1IC2 = 4011N

100673 - 11

12V

Los tres electrodos consisten en cables de instalación de cobre (NYM), con una sec-ción entre 1,5 y 2 mm y extremos aislados. EL1 sirve de masa, EL2 marca el nivel de des-conexión (inferior) y EL3 el nivel superior de bombeo.Las distintas conmutaciones se dan al fluir corrientes de EL1 a EL2 y EL3, lo cual ocu-

rre cuando los electrodos entran en con-tacto con el agua. Este flujo de corriente también provoca electrólisis, por lo que el autor cambia los electrodos más o menos una vez al año. El electrodo EL1 (masa), tiene su extremo aislado, con lo que dura aproxi-madamente el doble que los otros dos electrodos.

Si se utiliza una bomba a 230 V hemos de estar muy atentos a respetar el aislamiento galvá-nico de la fuente de alimentación, utilizar un relé adecuado y aislar con total seguridad todas las líneas que lleven 230 V. ¡Los circui-tos con tensiones de red sólo deben ser insta-lados por expertos formados en este campo!

(100673)

ATtiny15 como oscilador de MHzAndreas Grün (Alemania)

Los electrónicos ya lo saben: para un cir-cuito a veces hace falta un reloj de 1 o 2 MHz (en el caso del autor, se trataba del juego del Pong con un viejo AY3-8500). A menudo no tenemos a mano un cuarzo de la frecuen-cia exacta, con lo que podemos montar un oscilador RC; ya hay suficientes circuitos al respecto. Nos faltaba el condensador ade-cuado, y también el potenciómetro necesa-rio. Uff, y todavía queda ajustar la frecuen-cia... pero rápidamente pensamos en una solución que optimiza recursos, espacio y costes.Si no se trata de conseguir una frecuencia absolutamente exacta, la alternativa más rápida es utilizar un ATtiny15, que no necesita componentes adicionales. Con un precio de alrededor de 1 Euro, esta solución no resulta más cara que un oscilador convencional. Y la ventaja es que podemos calcular la frecuencia independientemente de los valores y toleran-cias de los componentes.

real. El límite superior lógico se alcanza fácil-mente en 2 MHz. En este caso podemos ver una desviación del 15 %.Como puede verse en la imagen, el circuito no podía ser más simple. Al conectar la alimen-tación dispondremos de la señal en el pin 6 (PB1).El programa de ejemplo consta únicamente de 15 líneas en ensamblador. Se explica así mismo mediante los comentarios. El código puede descargarse de la web de Elektor [1].El programa sólo inicializa el timer, y al final general la señal independientemente de la CPU. Por ello, ésta puede ponerse en modo sleep para ahorrar energía. Ya que aproxima-damente el 99 % de la memoria de programa está vacía, el controlador puede utilizarse para otras tareas.Quien necesite algo más preciso, puede ajus-tar la frecuencia de salida mediante el byte de calibración en el registro OSCCAL (aquí puede variarse el reloj de la CPU entre ciertos valo-res). En la hoja de datos, Atmel recomienda

El oscilador RC interno del controlador puede calibrarse con precisión a 1,6 MHz; el timer 1 interno funciona mediante el PLL integrado a 25,6 MHz [2]. Dividiendo este reloj, con un programa mínimo el ATtiny puede obtener frecuencias de entre más o menos 50 kHz y 12 MHz en un pin de salida, en el cual la fre-cuencia deseada es ligeramente mayor que la

8

7

+5V

6

5

110365 - 11

1

2ATTiny15

3

4

PB5

PB4

PB3

GND

VCC

PB2

PB1

PB0

Personal Download for I © Elektor

63414

56 7/8-2011 elektor

no fijar la frecuencia a más de 1,75 MHz, pues en caso contrario no se garantiza que el timer pueda funcionar correctamente.En lugar de un ATtiny15 también puede utilizarse alternativamente su sucesor el ATtiny45. Su timer 1 puede fijarse mediante

el fusible CKSEL en un modo compatible con el ATtiny15 [3]. Después, tras adaptar el programa podremos generar frecuencias más altas (o más precisas), ya que el PLL del ATtiny45 funciona a 64 MHz.

(110365)

[1] www.elektor.es/110365

[2] www.atmel.com/dyn/resources/prod_

documents/doc1187.pdf

[3] www.atmel.com/dyn/resources/prod_

documents/doc2586.pdf

Temporizador para tiempos muy largosDirk Visser (Holanda)

Con un sencillo temporizador mecánico (a la venta por un par de euros en una ferretería) se puede conmutar un aparato una o varias veces al día. Las aplicaciones son infinitas: lámparas dentro y fuera de casa, iluminación de pajareras y acuarios, bambas sumergibles, cargadores de baterías, etcétera.Quien necesite tiempos más largos que el periodo estándar de 24 horas, puede utilizar sencillamente dos temporizadores, donde el segundo se conecte encima del primero (ver foto). Para determinar lo que podemos hacer con ellos, tenemos que determinar primero cuantas veces tiene que conmutar. El primer temporizador tiene, por ejemplo, 48 pesta-ñas, que significa que el tiempo mínimo de conmutación es de 30 minutos por 24 horas. El segundo temporizador gira entonces 30 minutos por 24 horas y requiere, por lo tanto, 48 días para completar el ciclo completo. Pue-des conectar un aparato al segundo tempori-zador como, por ejemplo, un cargador para lámparas de buceo.Para evitar que el tiempo de conmutación del segundo temporizador sea mayor de 24 horas, es importante que el tiempo de con-

En la foto puedes ver que el segundo tempo-rizador puede bloquear las pestañas del pri-mero si se conecta ‘al derecho’ uno encima del otro. Este problema se puede evitar girando el segundo temporizador 180 gra-dos en relación al primero.

(110200)

mutación del segundo temporizador sea más corto que el del primero. En caso de que el ciclo de conmutación de 1 vez por 48 días sea demasiado corto, puedes conectar incluso un tercer temporizador. El ciclo máximo de con-mutación del tercer temporizador es de 1 x por 2304 días (1 x por cerca de 6,5 años).

24 h

30 min

t 110200 - 11

El LM2931-5.0 también es un generador de ruido aleatorio

Petre Tzvetanov Petrov (Bulgaria)

Los reguladores de tensión de baja caída de salida, de la serie LM2931, no sólo son úti-les para alimentar sistemas con microcon-

para otros objetivos que vosotros, los pro-gramadores inteligentes, podéis tener en mente.La tensión de salida de un LM2931A-5.0 está entre 5,19 V y 4,81 V. El mismo parámetro para el LM2931-5.0 está especificado entre 4,75 V y 5,25 V. El sufijo ‘Z’ indica un encap-sulado TO-92. Ambos CIs también sumi-

trolador; también pueden funcionar como generadores de ruido (prácticamente) alea-torio de baja frecuencia. El ruido aleatorio podría ser usado en un sistema para que un CAD (convertidor análogo/digital) produzca números aleatorios; o para que un puerto digital, deliberadamente, ‘pierda la cabeza’ según el nivel del ruido aplicado al mismo; o

Personal Download for I © Elektor

63414

57elektor 7/8-2011

LM29312-5.0IC1

C1

150n

C5

150n

R1

10k

R7

10k

R6

1k

R3

5k6

R2

220R

R4220R

R5680k

D2

1N4004

D1

1N4004

C3

22u16V

C2

22u16V

C4

22u16V

C6

220u16V

C7

22u 16V

C8

22u16V

D31N4004

J1 J2

T1

BC549C

T2

VN1

VN2

VCCVDC

VNOISE

100826 - 11

2x

nistran, como valor típico, 0,5 mVrms de ruido de salida, a tra-vés de un condensador de 100 μF, dentro del rango de frecuen-cia de 10 Hz a 100 kHz. Cuando se amplifica en más de 200 veces, podemos obtener a su salida una tensión de ruido típica de 100 mV, la cual debería ser suficiente dis-parar, de forma aleatoria, algunos bits en un CAD de 10 bits, con un bit de menor peso (LSB) equiva-lente a 0,5 mV. Estos bits podrían ser usados individualmente o colectivamente ‘construir’ núme-ros aleatorios más grandes.

El esquema eléctrico muestra una fuente de alimentación que pro-porciona una tensión de salida entre 4,5 V y 5,5 V y una corriente máxima de salida de entre 80 y 100 mA. El diodo D2 eleva la ten-sión de salida del CI LM2931Z-5.0 en unos 0,6 V – 0,7 V. El diodo D3 elimina (aproximadamente) el aumento de tensión producido por D2. Siguiendo con los diodos, D1 protege el regulador de tensión contra inversiones de polaridad.

El ruido de salida del CI regulador es bifurcado por C7 y R2 y amplificado más de 200 veces por T1 y T2. La resistencia de salida del amplifica-

dor es relativamente baja y la señal de salida podría ser usada directa-mente para controlar la entrada de un CAD. La ganancia del amplifica-dor transistorizado puede ser adap-tada a los requisitos del diseño, prin-cipalmente, con la resistencia R3.Los componentes D3, C5 y C6 reducen el impacto de la carga (típicamente un sistema micro-controlador) en el ruido visto por la entrada del amplificador.Los puentes J1 y J2 seleccionan la capacidad de carga mínima entre D3 y la salida del regulador, para conseguir un compromiso entre un funcionamiento estable del regulador, por un lado, y una ten-sión ruido de salida máxima, por el otro. Los puentes son montados o soldados en la fase final, cuando el equipo es probado.Aunque el circuito también trabaja con otros reguladores de tensión, como el 78L05, hay que recordar que puede haber niveles de ruido mucho más bajos, obligando a

aumentar la ganancia de amplificador de forma considerable.

(100826)

Placa de pruebas ElexLuc Lemmens (Laboratorio de Elektor)

La revista Elex fue la hermana pequeña de Elektor desde 1983 hasta 1993. En Elex se explicaba la electrónica de forma fácil y graciosa. Muchos lectores actuales de Elektor empezaron previamente con Elex. Y tam-bién ahora existe una demanda de artículos de esta revista, el DVD con todos los artículos de Elex sigue siendo actual. Hace ya unos 18 años que la revista desapareció del mercado.¿Ha desaparecido Elex com-pletamente? No, se sigue resis-tiendo un pequeño producto que hace que la vida de un elec-trónico sea siempre más agra-dable. Las placas Elex se intro-dujeron con la primera apari-

ción de esta revista en Holanda. Elex tenía que tener muchos cir-cuitos pequeños con un umbral bajo. Las placas impresas termi-nadas no se ajustaron a ese con-cepto, ya que eran demasiado caras y los lectores tendrían que comprar una placa nueva para cada proyecto. Entonces surgió la idea de construir una placa universal. La idea princi-pal está inspirada en la famosa placa de pruebas de VERO, que era una placa euro llena de tiras de cobre en una dirección. En nuestra opinión, se podría haber hecho de forma más inte-ligente, las placas tendrían que ser más pequeñas para bajar el precio y las tiras de la alimenta-ción tendrían que estar ‘prees-tablecidas’. En la imagen pue-

C1

C2

E/S

E/S señal

Alimentación (4x)

Masa

E/S señal

E/S110446 - 11

Personal Download for I © Elektor

63414

58 7/8-2011 elektor

des ver que con dos puentes pequeños se puede proveer de alimentación un integrado a partir de la masa y de la alimentación. Hay dos tiras extendidas a lo largo y por debajo del integrado, en principio servían para llevar las señales de entrada y salida, pero son perfec-tamente aptas como tiras de alimentación. Las tiras de masa, alimentación, alimenta-

ción no utilizada y de señal, se pueden inter-conectar libremente con el lado a contra-veta que sirve en principio de E/S, con o sin conector. Estás placas de pruebas son tam-bién perfectamente aptas para diseños dis-cretos con transistores.Estas prácticas placas se venden aún en la tienda de Elektor con los nombres de Elex-

1, -2 y -4 (simple, doble y cuádruple respec-tivamente). En esta edición de verano se han provisto algunos proyectos con un diseño de placa Elex, para demostrar lo fácil que es construir un circuito pequeño en la placa.

(110446)

Conversor de nivel RS232 con aislamientoUwe Hunstock (Alemania)

Este circuito convierte el nivel UART-TTL a RS232, estando ambas conexiones aisladas galvánicamente. Ya existen integrados de Maxim y de otros fabricantes para esto, pero estos chips suelen ser bastante caros.La tasa de transferencia en el circuito está limi-tada a 4800 baudios, en nuestro laboratorio sólo podemos alcanzar unos 2800. El motivo es el tiempo de conmutación del optoacoplador (según la hoja de datos T_on = 15 μs y T_off = 30 μs). Puede ser interesante experimentar un poco más con el valor de R4.Gracias al optoacoplador podemos dividir el circuito en dos partes totalmente aisladas una de la otra, alimentadas exteriormente. La parte derecha del circuito se alimenta con las líneas DTR/DSR del puerto RS232 (pin 7 y pin 2 en K1). Por ello, estas señales de protocolo ya no se uti-lizarán más en el dispositivo conectado, sino que estarán permanentemente a +12 V. Sin embargo, no basta con una alimentación que sólo tenga tensión positiva, pues en esta parte también necesitamos generar niveles negati-vos. El truco está en bloquear la línea TxD del puerto RS232 (mediante D2), que con el dis-positivo desconectado se mantiene a -12 V. Ya que la línea TxD al enviar datos naturalmente se pone a nivel alto, necesitamos servirnos de C1 para garantizar la alimentación.Cuando se envían datos por el puerto RS232, la línea TxD (pin 5 en K1) controla directamente el diodo emisor del optoacoplador a través de la resistencia en serie R1. Pero si tenemos en el pin 5 -12 V, ha de limitarse la tensión nega-tiva en el diodo emisor con D1, ya que la ten-sión inversa máxima especificada en la hoja de datos es de 6 V.Cuando se envía a través del puerto serie TTL, el transistor receptor controla un driver en el optoacoplador, que en este caso se ha imple-mentado con cuatro puertas NAND. Las cua-tro puertas están conectadas como inversor;

ket SUB-D9 de 9 pines. He aquí la tabla de asignación:

K1 SUB-D9 Significado

1 1 DCD (=nivel alto)

2 6 DSR (=nivel alto)

3 2 RXD

4 7 RTS (no utilizado)

5 3 TXD

6 8 CTS (no utilizado)

7 4 DTR (=nivel alto)

8 9 no utilizado

9 5 GND

10 no utilizado

(100704)

como puede identificarse fácilmente, esta inversión se ha mantenido en el circuito. Las tres puertas están en paralelo para obtener una corriente de salida mayor. IC1 se alimenta a una tensión nominal de +/-12 V (a través de los pines 14 y 7), la cual disminuye con D2 y cuya carga es, por ejemplo, R1. No obstante, ha de utilizarse una variante CMOS cuya ten-sión de alimentación pueda alcanzar los 18 V.Aparte de las puertas NAND, en principio pue-den utilizarse otros tipos de componentes lógicos, si éstos pueden ser conectados como drivers. También puede utilizarse una única puerta CMOS, por ejemplo del tipo TC4S81. Si no tenemos algo así en nuestro cajón de sastre, no hay problema en montar el circuito con una puerta NAND 4011 cuádruple.

Podemos conectar K1 (5x2 pines) mediante un cable plano con terminales IDC con el soc-

IC1.B56

4&

IC1.C89

10&

IC1.D1213

11&

IC1.A12

3&

K1

10

1 23 45 67 89

K24321

C2

100nD2

1N4148

R2

1k

C1

22u 25V

R11k5

D1

1N4148

IC2.A 8

7

1

2

IC2.B 6

5

3

4

R447

0RR3

470R

TXD

RXD

IC2 = ILD223IC1 = MC14011B

VCC

TTL_5V RS232_V24

14

7

100704 - 11

Personal Download for I © Elektor

63414

59elektor 7/8-2011

DESAFíO DE DISEñO NXP MBED

GanadoresMuchos desafíos de diseño piden a los participantes agitar juntos algunos componentes, hacer parpadear unos LEDs, y escribir un poco de código para intentar conseguir un premio. El Desafío de Diseño NXP mbed de 2010, publicado por Elektor y Circuit Cellar, era diferente. Estaba gestionado con el objetivo último de animar a los diseñadores de todo el mundo a ayudar a hacer los diseños embebidos para que fuesen más accesibles, productivos y orientados a la comunidad.

La revolución de la construcción rápida de prototipos comenzó, un tiempo atrás, en septiembre de 2010, cuando los diseñadores de diversos lugares, como Estados Unidos, Alemania, Australia, India, y Taiwán, comenzaron a trabajar con sus placas de creación de pro-totipos mbed LPC1768 de NXP. El reto era usar la placa, el compi-lador mbed en línea ‘Cloud’ (Internet), y los recursos de la comuni-dad mbed para desarrollar e innovar aplicaciones basadas en estos circuitos y/o programas.

El período de suscripción al proyecto se terminó el 28 de febrero de 2011. A partir de ese momento los jueces comenzaron a seleccionar los proyectos por su mérito técnico, originalidad, utilidad, rentabili-dad y optimización de diseño. Oficialmente, se anunciaron los resul-tados finales de los jueces en la Conferencia de Sistemas Embebido (CES) de 2011 que se celebró en Silicon Valley.

¡Felicitaciones a todos los ganadores!

Para entrar a ver el proyecto completo, visitad:www.circuitcellar.com/nxpmbeddesignchallenge

Primer Premio

(VI)sualizador: Una Carga Electrónica EleganteEl innovador (VI)sualizador basado en mbed, es un instrumento de laboratorio para obtener un perfil de los dispositivos de entrega de energía solares, químicos, y alimentados por tensión de rejilla. El diseño nos permite examinar la capacidad de entrega de tensión (V) y corriente (I) de una fuente de energía. También podemos usarlo para una gran variedad de otras ideas: calcular la resistencia de carga sobre la que una célula solar entrega su máxima energía; medir y capturar la capacidad, en amperios/hora, de una batería; o, usando cargas pulsantes, probar con precisión la regulación de la tensión de fuentes de alimentación convencionales. El módulo mbed del diseño acepta datos de entrada de interfaces locales y remotos, controla la corriente de carga deseada y supervisa las condiciones de funcionamiento.

Hexley Ball

Estados Unidos

[email protected]

Personal Download for I © Elektor

63414

60 7/8-2011 elektor

DESAFíO DE DISEñO NXP MBED

Para entrar a ver el proyecto completo, visitad:

www.circuitcellar.com/nxpmbeddesignchallenge

Segundo Premio

mbos: Un Sistema Operativo de Tiempo Real para mbedEl objetivo de este interesante proyecto era el de mejorar el entorno mbed con un sistema operativo de tiempo real. Diseñado especialmen-te para mbed, el ‘mbos’ es un verdadero RTOS preventivo multitarea, además de ser escalable para soportar prácticamente cualquier proyec-to desarrollado en la plataforma LPC1768. Este rápido RTOS nos permi-te “afinar” el desarrollo de aplicaciones, tanto sencillas como de com-plejas. Por ejemplo, es bastante simple para una aplicación de una o dos tareas, pero también puede trabajar con hasta 100 tareas.

Andrew Levido

Australia

[email protected]

Tercer Premio

Cortador de Panel CNCPodemos usar un sistema basado en mbed para controlar una fresadora de tres ejes para cortar paneles para equipos electrónicos. Una alternativa elegante a un programa de ordenador es el regulador autónomo, que nos permite controlar una fresadora, bien de forma manual o bien automática (siguiendo un “script”), sin necesidad tener de atestar la zona de trabajo con un ordenador. El diseño controla tres motores ‘paso a paso’. Dentro del controlador hay una fuente de alimentación y una placa de circuito impreso, que contiene el módulo mbed NXP, más la circuitería de interfaz necesaria y una ranura para una tarjeta SD.

James Koehler

Canadá

[email protected]

Personal Download for I © Elektor

63414

61elektor 7/8-2011

DESAFíO DE DISEñO NXP MBED

Mención honorífica

Probador CA (Corriente Alterna)La seguridad es la máxima prioridad cuando se trabaja con electrónica y circuitos. El Probador CA es una fuente de alimentación de tensión variable aislada que incluye un circuito interruptor (“breaker”) electrónico para probar y reparar equipos. Un controlador mbed muestra la tensión y la corriente, y controla el punto de disparo del circuito “breaker” y su tiempo de respuesta. Este diseño inventivo también puede mostrar el factor de potencia, VA, y VAR.

Kevin Gorga

Estados Unidos

[email protected]

Mención honorífica

“Capturadores” (“Grabber”) QRSSQRSS es usado por radioaficionados para transmitir código Morse lento desde transmisores de baja potencia y recibirlo en ‘capturadores’ especiales. Este proyecto creativo presenta un mbed en el corazón de un receptor QRSS, que es un receptor de RF que puede digitalizar un pequeño ancho de banda de las señales de RF y enviarlo a un servidor para procesarlas en una imagen de espectro para la presentación, en tiempo real, en una página web.

Clayton Gumbrell

Australia

[email protected]

Para entrar a ver el proyecto completo, visitad:www.circuitcellar.com/nxpmbeddesignchallenge

Personal Download for I © Elektor

63414

62 7/8-2011 elektor

DESAFíO DE DISEñO NXP MBED

Mención honorífica

menbed: Una Librería de Sistemas de Menú UniversalLa ‘menbed’ es una librería universal de sistemas de menú para la placa de prototipos mbed. Esta librería nos permite desarrollar rápidamente un sistema de menús fácil de usar, para interactuar con cualquier otra aplicación software que se esté ejecutando sobre mbed. Las útiles interacciones incluyen la observación de las variables de estado internas o valores analógicos, cambio de parámetros de programa y la llamada a funciones arbitrarias. La jerarquía de menú es totalmente personalizable y puede, incluso, ser cambiada dinámicamente.

Kyle Gilpin

Estados Unidos

[email protected]

Mención honorífica

Liberia para Controlador LCD de STNCuando la construcción rápida de prototipos es un requisito, la integración de una pantalla gráfica LCD en un diseño puede ser un problema. Esto nos puede costar tiempo y dinero, especialmente porque, en los programas, las librerías de calidad son escasas. Este útil proyecto soluciona este problema eliminando la necesidad de un controlador LCD externo, implementando la rutina de control en el programa. El entorno mbed se comunica directamente con los CIs controladores de pantallas LCD y proporciona refrescos de imagen en 60 Hz o más. La librería soporta pantallas LCD monocromas y de color y también incluye soporte experimental para pantallas de exploración duales.

Matt Bommicino

Estados Unidos

[email protected]

Mención honorífica

Medidor de Red mbed: Una pantalla de Reloj de Pared de la Red de Tráfico en Tiempo realPodemos monitorizar la red de datos con el Medidor de Red basado en mbed. El diseño inteligente de reloj de pared muestra datos de tráfico Ethernet en tiempo real con tres sintonizadores analógicos cómodos de leer. El medidor muestra las velocidades de transferencia de datos entrantes y salientes, así como la cuota de uso del ancho de banda de la red. La velocidad de actualización para el medidor “in” y “out” es de dos veces por segundo. La velocidad de actualización del medidor de “cuota” es de una vez cada 8 segundos.

Bruce Lightner

United States of America [email protected]

Personal Download for I © Elektor

63414

63elektor 7/8-2011

DESAFíO DE DISEñO MBED NXP

Hexley Ball gana el primer concurso mbedSimon Ford (Reino Unido)

Como, probablemente, ya hayáis visto, el ganador ha sido una carga electrónica inteligente; un nombre modesto para una hazaña técnica de ingeniería. El producto acabado es una mezcla ecléctica de electrónica digital y analógica, diseño mecánico y diseño web, todo ello “empaquetado” para proporcionar un producto innovador y totalmente profesional. Sin mencionar la completa reseña técnica que fue presentada con ella; muy importante para Circuit Cellar/Elektor como editores conjuntos. Un verdadero ejemplo de cómo debe hacerse.

Tuve la suerte de conocer Hexley en la feria ESC de Silicon Valley, de 2011, donde se anunciaron los resultados y en la que NXP le había invitado para mostrar su diseño. Fue maravilloso tener allí, en persona, al creador de ese diseño para hacerme una descripción, si no de todas, sí de parte de las impresionantes prestaciones del equipo. Utiliza, prácticamente, cada interfaz del mbed para proporcionar niveles asombrosos de funcionalidad. Con la descripción de cada prestación, mi apreciación de la cantidad de ingeniería que había detrás iba creciendo, así como mi sorpresa de saber lo que podía esconderse detrás del título de “carga electrónica”.Ésta habrá sido, probablemente, la respuesta más grande que Circuit Cellar/Elektor nunca antes han tenido en un concurso de diseño patrocinado y soportado por elementos hardware, ¡hecho adecuadamente para todo aquel que ha participado! Yo creía que podríamos ver algunos proyectos buenos, pero seguramente no esperábamos un volumen y diversidad tan grande. Desde controladores de máquina CNC a herramientas de Radioaficionados, controladores iPod para hogares controlados por iPhone, controladores de robots para inyecciones robóticas, filtros de audio de tiempo real para detectores de murciélagos, toda una verdadera mezcla de proyectos. ¡Tengo ganas de ver algunas informaciones que aparecerán en futuros artículos de Circuit Cellar/Elektor y en el sitio web mbed, que inspirarán a otros y les ayudan a aprovechar todo el trabajo duro que habéis puesto todos!

Durante los últimos meses también he tenido el placer de encontrarme con algunos de nuestros lectores, en presentaciones y ferias, que también han expuesto sobre mbed para este concurso. ¡Bienvenidos a mbed! Mientras algunas conversaciones comenzaban con: “Yo iba a entrar, pero no encontré nada sobre lo que escribir”, el resto de las conversaciones destacaron la cantidad de nuevas cosas que se estaban construyendo, las nuevas ideas exploradas, y el entusiasmo general para usar esta moderna tecnología de microcontroladores. Es maravilloso ver la cantidad de gente tan experta e innovadora que forma la comunidad mbed, lo que nos anima a trabajar mucho para asegurarnos que nuestros lectores/usuarios puedan ser aún más productivos.Después de la larga feria ESC, se me ofreció la posibilidad de que Hexley me llevase al aeropuerto; una gran oportunidad de charlar. ¡Creo que en aquel paseo de 45 minutos hemos podido dar forma algún tipo de gira de “banco de trabajo” en los EE.UU! ¡Si logramos reunir todo esto, tal vez veamos a algunos de nuestros lectores allí!Felicitaciones de nuevo, otra vez, y gracias a cada uno de los participantes. Espero que hayáis disfrutado del desafío.

(110477)

Simon Ford, co-creador de mbed, es un ingeniero de una larga vida en el mundo de la electrónica y los ordenadores. Trabaja en ARM y, antes de co-menzar con mbed, fue director técnico de la arquitectura ARMv7/NEON, que podemos encontrar actualmente en la mayoría de los teléfonos inteligentes.

www.circuitcellar.com/nxpmbeddesignchallenge

Personal Download for I © Elektor

63414

64 7/8-2011 elektor

Más líneas de puerto para el R8C/13Hermann Nieder (Alemania)

El famoso módulo procesador del proyecto Elektor R8C [1][2] se programa con gran faci-lidad y puede utilizarse para tareas de con-trol en multitud de aplicaciones distintas. En las aplicaciones grandes siempre vendrían bien algunas líneas de puerto más. Por ello, aquí presentamos esta sencilla expansión de puertos, en el cual se utilizan dos módulos de puertos 82C55. En total dispondremos de seis puertos de 8 pines cada uno; los puertos pue-den configurarse tanto como entradas como salidas.Para la comunicación con el PC mediante el RS232 se utiliza el “sistema minimalista” de la edición de Elektor de Diciembre de 2005 [1]. Gracias al práctico cable USB/TTL [3], en el nuevo cableado podemos ahorrarnos los transistores T1 y T2.

Los pines P1.0 a P1.7 del R8C/13 están conec-tados con las entradas de datos de los com-ponentes nombrados arriba. P3.0 y P3.1 se encargan del direccionamiento de los res-pectivos puertos. Mediante el pin P3.2 puede seleccionarse el componente con la línea de chip-select /CS. La señal se encuentra direc-tamente en el primer módulo de puertos, y en el segundo está invertida.La comunicación entre PC y R8C (a 9600 Bau-dios) está codificada de la siguiente forma: el primer byte indica si los datos en un puerto han de escribirse o leerse (1 para escritura, 2 para lectura). El segundo byte incluye la dirección del puerto, pudiendo configurar las direcciones 0 a 3 para el primer módulo, y de 4 a 7 para el segundo. Los bytes de control de la expansión de puertos pueden enviarse mediante las direcciones 3 y 7; encontrare-mos más detalles en la hoja de datos [4]. En la escritura el tercer byte muestra el patrón de bits que debe de seguirse. En la lectura este patrón del R8C se envía al PC.

La comunicación entre el PC y el circuito representado puede hacerse por ejemplo mediante un programa terminal. Resulta algo más cómoda mediante el programa para PC del autor (en VisualBasic 5), que utiliza la librería RSCOM.DLL de Burkhard Kainka, que puede descargarse de esta web [5]. Tanto el software de PC como el firmware para el con-trolador pueden encontrarse como siempre en la página de Elektor [6].Por mera simplicidad, en el software se han configurado los puertos A, B y C de IC1 como salidas, y A, B y C del segundo módulo de puertos como entradas. Al activar la tensión de alimentación ambos módulos disparan un

8255_2”. Ahora el PC envía sólo los bytes 1, 3 y 128 al R8C/13, lo cual hace que IC1 confi-gure de nuevo todos los puertos como salidas.Ahora, mediante ocho casillas por puerto

pulso de reset, y sus puertos se configuran como entradas.Tras abrir un puerto COM, en el programa de PC presionamos el botón “preparar 8255_1 y

RXD0/P1.5TXD0/P1.4

R8C/13

MOD1BC547

BC557RESETCNVSSRXD1

MODE

TXD1

P1.7P1.6

P1.3P1.2P1.1P1.0P4.5 P3.3

P3.2

P3.1

P3.0

P0.7P0.6P0.5P0.4

P0.3P0.2P0.1

XOUT

IVCC

AVSS

AVCC

VSS

VCCXIN

10111213141516 17

18

20

22

242526272829303132

23

21

19

1

3

5

789

2

4

6

K1

10111213141516

123456789

+5V +5V

T1

R2

10k

R3

4k7

R127k

R4100k

T2

R5

10k

S2

MODE

+5V

P1.7P1.6P1.5P1.4P1.3P1.2P1.1P1.0

TXD

GND

RXD

PC

WRRDCS_IA1A0

K2

10111213141516

123456789

+5V

+5V

C1

16V

R1

1k

RESET

82C55

IC1

PA0PA1PA2PA3PA4PA5PA6PA7

PB0PB1PB2PB3PB4PB5PB6PB7

PC0PC1PC2PC3PC4PC5PC6PC7

GND

VCC

40393837

1819202122232425

1415161713121110

26

34 D033 D132 D231 D330 D429 D528 D627 D7

RD

36 WR

A1A0

35

CS

4321

7

5

89

6

IC3.C1

IC3.D1

D0D1D2D3D4D5D6D7

D0D1

D4D5D6D7

D2D3

+5V

C2

16V1u 1u

R2

1k

RESET

82C55

IC2

PA0PA1PA2PA3PA4PA5PA6PA7

PB0PB1PB2PB3PB4PB5PB6PB7

PC0PC1PC2PC3PC4PC5PC6PC7

GND

VCC

40393837

1819202122232425

1415161713121110

26

34 D033 D132 D231 D330 D429 D528 D627 D7

RD

36 WR

A1A0

35

CS

4321

7

5

89

6

D0D1D2D3D4D5D6D7

IC3.A1

D2

R4

3k3

+5V

IC3.B1

D1

R3

3k3

+5V

IC3.E1

110301 - 11

WRRDCS_IA1A0

IC3 = 74HC14

S1

RESET

Personal Download for I © Elektor

63414

65elektor 7/8-2011

podemos seleccionar los bits individuales por cada puerto A, B o C que deben activarse o desactivarse. El valor decimal correspon-diente del patrón de bits se muestra siempre a la derecha.

Finalmente, tras pulsar el botón “Send” se ini-cia la transferencia de cada byte al microcon-trolador, que a su vez controla IC1.

El muestreo de los pines de entrada (puer-tos A, B y C) de IC2 se lleva a cabo mediante un pooling constante el programa del PC. El estado de los pines se muestra por colores y adicionalmente se visualiza el valor decimal.Naturalmente, el software de PC es sólo el punto de partida, ¡también son posibles otras muchas ampliaciones y adaptaciones!

(110301)

[1] www.elektor.es/050179-2

[2] www.elektor.es/r8c

[3] www.elektor.es/080213

[4] http://www.intersil.com/data/fn/

fn2969.pdf

[5] www.b-kainka.de/pcmessfaq.htm

[6] www.elektor.es/110301

Adaptador de alto nivel de audioJörg Ehrig (Alemania)

El problema para el cual se diseñó este sen-cillo circuito surgió de la instalación de un equipo de sonido para un Audi A3. La radio tenía cuatro salidas para altavoces y una salida de línea para un subwoofer. Sin embargo, el A3 ya trae de fábrica un amplificador para los altavoces traseros y el subwoofer ya integrado en el maletero. Por ese motivo, para los altavoces traseros la radio original de Audi sólo tiene salidas de línea. Para reemplazar la radio de Audi sin

Las salidas de la mayoría de radios tienen una etapa diferencial push-pull (conexión en puente). No existe salida de masa, sino úni-camente salidas con la fase desplazada 180°. Si combinamos una de estas salidas con una resistencia de 100 Ω, obtendremos un punto de masa virtual. La masa resultante es relati-vamente estable, pues tiene una resistencia interna de tan sólo unos 25 Ω. Cada etapa de salida se carga posteriormente con 200 Ω, lo cual con una potencia de salida de 50 W a 4 Ω tan sólo genera unos 0,5 W de pérdidas por

cambiar la instalación de amplificadores des-crita, en la nueva radio tendremos que con-vertir las salidas amplificadas para los altavo-ces traseros en salidas de línea.La mayoría de adaptadores disponibles comercialmente incluyen pequeños transfor-madores para el aislamiento galvánico. Éstos generan desplazamientos de fase y distorsio-nes de mayor o menor intensidad que el autor quería evitar. El resultado puede verse en el circuito mostrado, de un sencillo adaptador sin transformador.

Timbre en cadenaA. René Bosch (Holanda)

El problema: Los vecinos tienen exactamente el mismo timbre que tú (una matraca de 50 Hz). Por eso no siempre se sabe bien dónde llaman a la puerta. Para evitar esta confu-sión, el autor ha sustituido el timbre exis-tente por uno inalámbrico, lo que supone una solución barata para los precios que hay actualmente. Sólo hace falta que la conexión del timbre antiguo active también el timbre inalámbrico.Abre la caja del timbre inalámbrico y busca los contactos que se cierran al pulsarlo con un polímetro. Estos son los contactos para la salida del relé (ver esquema). El esquema habla por sí solo. En cuanto se activa el pulsa-dor del timbre existente y esta señal lo activa,

con un potente Big Ben, el timbre anuncia que alguien está llamando a la puerta. ¡Y ahora a esperar que los vecinos no lean este artículo!

(100415)

un rectificador de onda completa rectifica dicha señal y un 7805 lo estabiliza a 5 V. Con esto se acciona directamente el relé y se cie-rra el interruptor del timbre inalámbrico. Así,

B1

B80C1000C1

47u16V

7805

IC1

RE1

5V

D1

1N4148

6...9V

100451 - 11

*

*

Personal Download for I © Elektor

63414

66 7/8-2011 elektor

resistencia. Por ello, debería bastar con resis-tencias de 1 W, especialmente si tenemos en cuenta que la música normal tiene un factor de pico de aproximadamente 5 como mínimo. Incluso si apareciese un pequeño offset en la masa virtual esto no sería un problema, ya que la mayoría de amplificadores tienen entradas diferenciales o al menos masas de potencial flotante a la entrada. Para adaptar el nivel de las señales al de línea, hemos de reducirlas en cada caso mediante un divisor de tensión. Los potenciómetros multivuelta son especialmente aptos para ello. El autor ha utilizado dos potenciómetros de ajuste linea-les de 10 kΩ, para reducir la tensión de salida

de 12 VSS a entre 2 y 3 V, lo cual es perfecta-mente apto como nivel de entrada del ampli-ficador. El ajuste de los potenciómetros ha de hacerse mientras se escucha, fijando el nivel deseado de los altavoces traseros.Para el montaje no hace falta ninguna tar-jeta. Las resistencias de 1 W caben bien entre las conexiones de los potenciómetros multi-vuelta, con lo que el circuito puede montarse bien sin soporte alguno y aislarlo en maca-rrón termorretráctil. Ya que el circuito no ocupa apenas espacio y su instalación garan-tiza total flexibilidad, puede colocarse tras la propia radio.

(110304)

R1100R

R2100R

L1

L2

R3100R

R4100R

R1

R2

P110k

P210k

1

2

3

110304 - 11

Generador de alta tensión

Jac Hettema (Holanda)

Este generador de alta tensión fue diseñado con el objetivo de probar las protecciones de descarga del ferrocarril. Estas protecciones se utilizan para evitar que las partes metálicas exteriores lleven una tensión demasiado ele-vada. Si esto ocurriese, comenzaría a fluir una corriente muy alta (kiloamperios), la protec-ción se fundiría, y formaría un cortocircuito que pondría las partes metálicas a tierra.

1,4 VA y un multiplicador de tensión. Con P1 se configura la corriente máxima y con P2 la tensión de salida.

La utilización de un multiplicador de tensión tiene la ventaja de que la tensión de trabajo de los condensadores de alisamiento puede ser más baja, de modo que son más fáciles de encontrar en el mercado. Se ha optado por el TL494, porque este circuito sigue funcio-

Esto ocurre, por ejemplo, cuando cae un rayo sobre la catenaria y los postes del ferrocarril. Este generador crea una alta tensión de 1.000 V, pero limita la corriente de salida a varios miliamperios. Con esto se pueden compro-bar las protecciones sin que se cortocircuiten.Se han utilizado componentes normales, un regulador de ancho de pulsos del tipo TL494, algunos FET o transistores bipolares de con-mutación, un transformador sencillo de unos

R3

22k

R4

10k

R14

1k

R9

1k

R8

1M

R7

33k

R5

2k2

R10

2M

R11

42k3

C8

1u16V

C7

4u7

C5

10u

C1

22n

C9

100n

C2

100nR6

47k

TL494

IC1

1IN+1IN-

2IN+2IN-

VREF

VCC

GND

DTC

CIN E1

E2 10

12

1615

C2 11

C1

RTCT

OC1314

12

9

7

8

4

65

3

R151k

T1

R12k2

T2

R22k2

TR1

C6

47u16V 16V 16V

P1

5k

C3

100n400V

C10

100n400V

D2

D3

D1

1N4007

D4

1N4007

BS170

BS170

2x

2x C4

100n600V

C11

100n600V

R12200R

R16

2M

R17

2M

R18

2M

R19

2M

P210k

*

BT1

9V

S1

110438 - 11

Personal Download for I © Elektor

63414

67elektor 7/8-2011

nando a unos 7 V, o sea sigue funcionando cuando las pilas están casi gastadas. Utiliza 6 pilas tipo C para mantener un poco el peso bajo control.Se usa el transformador de red Tr1 de 230 V/2x4 V al revés. Esto significa que el deva-nado de 4 V tiene que soportar el doble de tensión, pero eso se puede permitir ya que la frecuencia es mucho más alta (unos kiloher-cios) que los 50 Hz para los que el transforma-dor ha sido diseñado.La versión final se ha equipado de una indi-cación de la tensión de salida con la que se puede controlar la tensión de ruptura.

He aquí un poco de información de fondo desde el punto de vista histórico.

En el pasado se ingenió otro sistema para eso. Cada poste de alta tensión llevaba una pro-tección y no era visible cual de las proteccio-nes se había cortocircuitado debido a la ele-vada corriente de descarga.

Como se trataba de corrientes muy eleva-das, el señor Van Ark inventó una solución. Utilizó un tubito de cristal lleno de un líquido con una materia pigmentada de color rojo y una bola de hierro. En el momento en que sur-gía una corriente de descarga, la bola de hie-rro subía hacia arriba debido al fuerte campo magnético y con esto se mezclaba la mate-ria pigmentada con el líquido. Esto era visi-ble durante por lo menos 24 horas. Después de un chubasco tormentoso se pudo ver bien

por dónde pasó la corriente de descarga, sólo hizo falta pasar por todos estos tubitos y mirar bien.

Sin embargo, esto no funcionó del todo tal y como se esperaba. Como generalmente tardaba mucho en surgir aquella descarga, la materia pigmentada se espesaba dema-siado, de manera que cuando surgía final-mente, la materia pigmentada ya no se mez-claba con el líquido y (por desgracia) no se veía nada. Así que se ha dejado de utilizar y se ha incluido en la historia (del ferrocarril) con el nombre ‘las bolas de Van Ark’.

(110438)

Conmutador de vídeo para el interfonoJacob Gestman Geradts (Francia)

Actualmente existen muchos interfonos equi-pados con cámara de vídeo, no son sólo para escuchar sino también para ver quien está esperando en la puerta. Sin embargo la lente de la cámara está, por excelencia, en un lugar donde la gente se apoya durante la conver-sación, así que, en este caso, no se puede ver mucho de la imagen de vídeo. Una solución no es la instalación de una sino de dos cáma-

sino también un amplificador de video utili-zado muchas veces con éxito en Elektor, del que se puede ajustar el brillo y el contraste por separado. La razón para este añadido es que la distancia entre calle y casa puede lle-gar a ser bastante grande y de esta manera puedes compensar las pérdidas correspon-dientes del cable.La parte conmutada está construida con el famoso integrado 4066, del que los interrup-

ras en la calle, preferiblemente con suficiente espacio entre sí. Mostrando alternadamente las dos imágenes, puedes ver lo que ocurre en la puerta, por lo menos la mitad de tiempo.Gracias a este conmutador de vídeo que hay que instalar en la calle, no demasiado lejos de las dos cámaras, puede bastar con un único monitor en casa y no hace faltar tirar cables de vídeo adicionales. Para esto el esquema no contiene sólo un conmutador de vídeo,

Divisor de cuentarrevolucionesSjabbo van Timmeren (Holanda)

El autor es piloto de carreras de clase clá-sica en el Campeonato Nacional Abierto (ONK) del Club de Motoristas Holandés (KNMV). Recientemente modificó el dis-tribuidor (1 chispa por cada 2 revolucio-nes) de su motor (un motor de 1 cilindro de 4 tiempos, tipo BSA Goldstar 500 cc) por un encendido electrónico (1 chispa por cada revolución, por lo tanto el doble del número de pulsos). Después de esta modificación, el cuentarrevoluciones dejó de indicar las revoluciones correc-tas. Un nuevo cuentarrevoluciones apto para encendido electrónico (por ejemplo

el de Krober) es muy caro (cerca de 175 euros). Por eso se buscó en algunas edi-ciones de verano de Elektor, ¡tenía que poder encontrar una solución electró-nica! La encontró rápidamente en forma de monoestable. El circuito que aquí se representa surgió tras varias adap-taciones, con las que el cuentarrevolu-ciones vuelve a indicar las revoluciones correctas. Problema solucionado por 5 euros, ahorrando así 170 euros y ¡ade-más pasando un buen el rato haciendo arreglos!

(100312)

T2

BC547

T1

BC547

R1

10k

R2

10k

R3

10k

R410k

R610k

D2

1N4148

C1

100n R510k

R710k

D3

C2

100n

D1

LED/1N4148

+6V...+12V

2x

100312 - 11

Personal Download for I © Elektor

63414

68 7/8-2011 elektor

tores IC2.A e IC2.D transmiten por turnos una de las dos señales de vídeo, ya que reciben a través de los interruptores IC2.B e IC2.C dos señales de control que están en fases opues-tas la una de la otra.

Por supuesto que puedes utilizar el circuito en muchos otros sitios, por ejemplo, allí donde tenga que haber dos cámaras y sólo haya dis-ponible un cable de vídeo.

(100587)

El ritmo con el que se conmutan las señales de vídeo, se genera en un ‘viejo amigo’ 555 que muestra la imagen de cada cámara durante 2 segundos alternadamente con el dimensio-nado actual.

IC1DIS

THR

OUTNE555

TR

CV

2

7

6

4

R

3

5

8

1

R2

100k

R1

1k

R3

4k7

R44k

7

R5

4k7

R6

1k

P1

5k

P2

5k

R775R

C1

15u 25V

C2

1u 25V

C3

100n

C7

100n

C5

1u25V

C6

1u25V

IC2.B

5

4

3

IC2.C

12

11

10

IC2.A 13

1 2

IC2.D 6

8 9

C4

10n

VID1

VID2

D1

1N4148

T1

BC550

T2

BC560

VID

IC214

7IC2 = CD4066

+12V

100587 - 11

Indicador de descarga para bateríasWolfgang Fritz (Alemania)

Este pequeño circuito fue dise-ñado para supervisar la batería de la maqueta de un aerodeslizador (hovercraft). El impulso se genera en este modelo mediante un pro-pulsor de aire eléctrico. Para evitar la descarga completa de la batería utilizada, la maqueta dispone de un LED que se encarga de señali-zar cuando se alcanza la tensión de descarga de ésta. El circuito puede construirse con unos pocos com-ponentes, para no afectar al peso del modelo.Dispone de tan sólo dos puntos de conexión, en los cuales se mide la tensión a supervisar, a la vez que sirve como alimentación del pro-pio circuito. Lo mejor es conectar el indicador de descarga no direc-tamente a la batería, sino a los contactos del motor.El circuito es apto para tensiones nominales de batería de entre 4,8 V y 9,6 V (de 4 a 8 células, con una tensión por célula de 1,2 V). Por ejem-

(LM431) fijamos una tensión UZ, que es aproximadamente 0,5 V menor que la tensión de descarga, con la que el LED D2 ha de iluminarse.Este ajuste corresponde con el valor de la resistencia R1. Como se muestra en el esquema, se ha uti-lizado un potenciómetro (R1.A) en serie con una resistencia (R1.B). Según los valores dados (10 k para el potenciómetro y la resistencia) puede fijarse una tensión de des-carga más o menos entre 5,5 V y 8 V. Para valores mayores o meno-res tendríamos que aumentar o dis-minuir R1.B en consecuencia.Una vez ajustada la UZ deseada podemos medir la resistencia resul-tante (R1.A + R1.B) y sustituirla por una resistencia R1 fija de este valor.Con la batería de 6 células dada en

el ejemplo, en el emisor de T1 tenemos una tensión de 7,2 V. En su base hay 6 V, corres-pondientes a la tensión de descarga, menos el valor fijado para UZ, o sea 5,5 V (6 V – 0,5 V). En caso de que debido a la tensión de la bate-

plo, con una batería de seis células la tensión total sería de 7,2 V. En cuanto a la tensión de descarga, asumimos que sea más o menos de 1 V por célula, o sea que con seis células unos 6 V. Aparte, en el diodo Zener ajustable D1

T2

BC556B

T1

R7

330R

R5

100k

R6

220k

R3

470R

R1

*1%

R2

10k

1%TL431

D1

D2

R44k7

R

R1

LM431

KA431

VCC

K112

BT12x

R

R1

R1.A10k

R1.B10k

100330 - 11

Ue

Uz

Uref

Personal Download for I © Elektor

63414

69elektor 7/8-2011

ría se superen los 0,5 V en UZ, T1 entra en conducción, llevando a T2 al corte, con lo que el LED D2 no estará iluminado. Si la tensión de la batería es inferior a 6 V (UZ + 0,5 V), T1 entra en corte, de modo que T2 conduce y el LED D2 se ilumina.Para que la conmutación sea lo suficiente-mente estable, mediante R6 obtenemos cierta histéresis, pudiendo variar los valores

de la resistencia entre 100 k y 220 k en caso de necesitarlo.El consumo de corriente propio del circuito es menor a 5 mA (medido con una tensión de batería de 7,2 V). Si el LED está encendido se incrementa en 10 mA (corriente del LED), es decir, asciende a 15 mA.En lugar del diodo Zener ajustable, también puede utilizarse un Zener normal cuyo valor sea

menor en 0,5 V a la tensión de descarga que queremos supervisar. En ese caso prescindi-mos de las resistencias R1 y R2. En D2 también podemos servirnos de un LED que parpadee (si la resistencia en serie R7). Los que prefieran una alarma acústica simplemente han de sustituir D2 y R7 por un zumbador de corriente continua con su correspondiente alimentación.

(100330)

“Shields” para Arduino

Michael Gaus (Alemania)

La plataforma de microcontrolador Arduino es bastante popular. Probablemente uno de

biarse rápidamente unas por otras. Estos “shields” podemos montarlos por nuestra cuenta con unos pocos componentes. Ya

los motivos principales sea la gran oferta de tarjetas conectables (“shields”), que contie-nen hardware adicional y pueden intercam-

BOTTOM VIEW 110092 - 11

Fuente de corriente para carga conectada a masa

Stephen Bernhoeft (Reino Unido)

La creación de una fuente de corriente (a diferencia de un sumidero de corriente) para controlar una carga conectada a masa puede ser un poco complicado. Es verdad que el circuito sugerido hace algo de trampa, ya que

la carga está conectada a una masa virtual; pero aún así, es potencialmente útil.

En el circuito están implicados dos lazos de control: el amplificador operacional A1, que mantiene el terminal ‘frío’ de la carga conec tado a la masa vir tual; y A 2, que establece una corriente de I = -V1/R1, la cual también pasa por la carga RL.

(100934)

A1A2

V1

R11k

RL100R

0V25DC

100934 - 11

Personal Download for I © Elektor

63414

70 7/8-2011 elektor

que los conectores correspondientes a las tarjetas de Arduino “Uno”, “Duemilanove” y “Diecimila” se encuentran en el mismo sitio y se encargan de lo mismo, estos “shields” caseros pueden utilizarse en las tres tarjetas controladoras.En cuanto al hardware, sólo necesitamos una tarjeta perforada, así como conectores de 2,54 mm entre pines. Puede verse la posición correcta de los pines en la imagen (vistas en la cara posterior de la tarjeta).

Si ahora le damos la vuelta a la tarjeta, podre-mos soldar los nodos de los pines en la cara posterior de la tarjeta perforada.En la foto puede verse un “shield” montado de esta manera. En la cara superior de la tarjeta pueden colocarse los componentes del cir-cuito adicional, y soldarlos por la cara poste-rior. En este caso los componentes de patillas son ideales para conectarlos directamente con los pines.

(110092)

Para el montaje lo mejor es colocar pri-mero los pines en el conector de la tar-jeta de Arduino. Ahora metemos los pines en la tarjeta perforada, guardando cierta distancia entre la cara posterior de la tar-jeta y el plástico de los pines; después nos tocará soldarlos. Ya que la distancia de los conectores de la tarjeta Arduino no se corresponde con la medida estándar de los de 2,54 mm, habrá que cortar los pines ligeramente.

Lector de tarjetas MicroSDAlbert Bitzer (Alemania)

En muchos circuitos con microcontrolado-res se utilizan lectores de tarjetas SD. Exis-ten multitud de librerías de programación y numerosos ejemplos de aplicación al respecto en Internet.

No obstante, en algunos proyectos –como en los de modelismo– un lector de tarjetas SD no es lo suficientemente compacto. Como solución a este problema, aquí proponemos utilizar una tarjeta MicroSD [1], que es más pequeña. La marca Hirose [2] dispone de lec-tores de tarjetas aptos en su cartera de pro-

K1

12345678SW1

DOGNDCLKVDD

DICSXGND

C1

100n

RESERVED

GNDCLKVDD

CSX

SW1 VSS GND GND

GND GND

DO

DI

NC

HIROSE DM3A

110044 - 11

Luz de soldadura para maquetas de trenesErhard Stark (Alemania)

Quien posee su propia maqueta de tren y quiere tener en cuenta todos los detalles, sin duda necesitará una luz que simule una sol-dadura de arco. Este proyecto muestra cómo hace falta poco más que un microcontrolador y el software apropiado.En el circuito que presentamos se utiliza un microcontrolador PIC10F200, que ilumina alternativamente los LEDs D1 y D2 con distin-tas frecuencias. Para que el efecto del parpa-deo de la soldadura sea lo más parecido posi-ble, los LEDs deben colocarse uno al lado de otro. La conexión y desconexión del electrodo se simula mediante breves interrupciones en el parpadeo.

Para apagar la luz, el circuito ha de separarse de la tensión de alimentación, o conectar el pin 8 (GP3) a masa. Esto último puede hacerse elegantemente mediante J1. Con el jumper abierto, la luz de soldadura estará activa.El software del microcontrolador puede des-cargarse gratuitamente en [1]. La configura-ción del PIC está ya integrada en el archivo ASM, sin embargo, también puede hacerse manualmente poniendo a 0 todos los bits de configuración.

(110085)

[1] www.elektor.es/110085

+5VDC

C1

100n

J1

PIC10F200GP3/MCLR

IC1

GP2

GP0

GP1

VDD

VSS

5

2

7

3

48

R1

100R

D1

R2

100R

D2

110085 - 11

Personal Download for I © Elektor

63414

71elektor 7/8-2011

ductos, que pueden adquirirse por ejemplo en Farnell [3], HBE [3a] o Digikey [4].El DM3 está disponible en tres versiones: el DM3A del tipo push-push, el DM3C, una ligera variante, y el DM3D, con el diseño estándar. El lector de tarjetas puede montarse bien incluso con un soldador normal. Para que un aficionado a la electrónica en miniatura lo tenga todavía más fácil, el autor ha creado una pequeña librería en Eagle que incluye las

tres versiones. El archivo Zip puede descar-garse de la página de Elektor [5].También se incluye una tarjeta a modo de adaptador o de experimentos para añadir pines a las conexiones del lector DM3A, que puede conectarse fácilmente a un micro-controlador (por ejemplo en una tarjeta perforada).

[1] www.sdcard.org/developers/tech/sdcard#microsd

[2] www.hirose.de[3] http://de.farnell.com[3a] www.hbe-shop.de[4] http://dkc1.digikey.com/se/en/tod/

Hirose/DM3/DM3.html[5] www.elektor.es/110044

(110044)

Sencillo generador y probador de onda cuadrada Petre Tzvetanov Petrov (Bulgaria)

Este generador y probador de onda cuadrada está basado en el circuito integrado (CI) amplificador de audio TBA820M. Ha sido pre-sentado como una idea de diseño para poder hacer un refinamiento adicional y optimiza-ción de valores componentes por medio de experimentos.El circuito tiene cinco rangos de frecuencia que cubren desde < 0,1 Hz hasta > 70 kHz. Será muy útil para probar cables, equipos de comunicación, interfaces eléctricos, altavo-ces, auriculares, bombillas, transformado-res, LEDs, acopladores, inductores, zumba-dores, equipos de ultrasonido, etc. o donde-quiera que necesitemos una señal en el rango de menos de 0,1 Hz hasta más allá de los 25 kHz, con posibilidad de control de frecuencia, amplitud y capacidad. Por ejemplo, el control de cargas capacitivas o inductivas de gran valor no es ningún problema, ni suministrar hasta un vatio de potencia de salida.Los rangos de frecuencia del generador son seleccionados con los conmutadores S1–S4. Para poder usar un conmutador DIP con cua-tro posiciones, el condensador más pequeño, C1, está siempre conectado, pero no hay nin-guna objeción a añadir un quinto interrup-tor. Con un valor de C1 de unos 10 nF y una resistencia total de 15 kΩ entre los termi-nales 5 y 3 del CI TBA820M, la frecuencia de salida máxima es del orden de 70 a 100 kHz. Sin embargo, con la idea en mente de poder hacer un montaje, se recomienda limitar la frecuencia por debajo de los 50 kHz.D5 y R11 proporcionan un camino de descarga y una resistencia de protección para los con-densadores más grandes. El interruptor S5 permite que la componente DC del TBA820M

que no tienen ninguna tensión en sus extre-mos, etc. La potencia de salida depende de la fuente de alimentación y es de, aproximada-mente, 2 W sobre 8Ω, con VEE = 12 V. La carga afecta a la frecuencia y la amplitud de la señal OUT2, en pequeño grado, pero esto no afecta en la mayoría de las aplicaciones.OUT3 es una salida controlada por nivel que utiliza el potenciómetro P2. Esta salida está protegida por R12 que toma un valor de entre 22 y 220 Ω, según la aplicación. La salida es usada para probar auriculares, líneas de audio, pequeños altavoces, trans-formadores, amplificadores, zumbadores, LEDs, etc.Las salidas OUT4 y OUT5 son usadas princi-palmente para probar amplificadores, líneas y auriculares, pero seguro que encontramos muchas otras aplicaciones. La amplitud de

sea bloqueada o pasada a las salidas del gene-rador. S6 deberá estar cerrado cuando se esté trabajando con cargas reactivas elevadas, para eliminar las oscilaciones de alta frecuen-cia no deseadas. El potenciómetro P1 controla la frecuencia de la señal de salida.OUT1 es la salida principal protegida con la resistencia R9. Esta resistencia se utiliza para probar circuitos no conocidos donde podrían estar presentes grandes capacidades o induc-tancias, o para circuitos en los que ellos mis-mos presentan unos pocos voltios. La resis-tencia R9 puede ser dimensionada para satis-facer los requisitos de la aplicación y puede tomar valores entre 22 y 100 ohmios, con una disipación de entre 0,5 y 2 vatios.OUT2 es la salida directa del circuito inte-grado. Esta salida se emplea para probar alta-voces, transformadores, líneas que sepamos

TBA820MIC1 5

2

3

6

7

4

8

1

R1

5k1

R3

47R

R4

4k7

R52R

2

1WR2

15kP1

220k

C7

47p

D1C11

47u25V

R6

300R

R730

R

R8

3R3

C12

470u25V

D3

D2D5

C6470u

25V

R11150R

C8

220n

S6

S5

S1

C5

100u25V

S2

C4

10u25V

S3

C3

1u63V

C2

100n63V

S4

C1

10n63V

R922R

R1222R

P2

470R

C9

100n

C10

470u25V

R10

4k7

D4D2, D3, D5 =

K2

K1

100763 - 11

+VEE

GND

GND

1N4001

OUT1

OUT2

OUT3

OUT4

OUT5

Personal Download for I © Elektor

63414

72 7/8-2011 elektor

OUT4 es una décima parte de OUT2 y la ampli-tud de OUT5 es la 1/100 (centésima) parte.Todas las salidas están protegidas contra cor-tocircuitos a masa. Los diodos D2 y D3 pro-porcionan una protección de baja-tensión y de sobre-tensión en cada salida.El “slew rate” de la señal de salida del gene-rador, sin carga adicional, es de más de 20 V/μs y depende ligeramente de la marca del

nal, con un valor equivalente al 5-10 % del de P1, podría colocarse en serie con P1 para conseguir una mejor precisión en el ajuste de la frecuencia.La tensión de alimentación del generador es relativamente amplia: de 4–16 VDC (conexión VEE). El componente está perfectamente capaci-tado para funcionar con una batería de coche de 12 voltios, con una tensión típica de unos 13,2 V.

(100763)

TBA802M usado y del método de conexio-nado (PCB o cableado libre).R2 y P1, juntos, presentan un rango de resisten-cia que va desde 15 kΩ a, aproximadamente, 250 kΩ. Aunque el generador trabaje con un potenciómetro de 1 MΩ, hay una pequeña ven-taja de la que aprovecharse, debido a las altas capacidades e inductancias parásitas y al ruido. Por otra parte, un potenciómetro lineal adicio-

Programador SCAP-AVRMichael Gaus (Alemania)

A muchos principiantes en los AVRs les gus-taría montarse de forma asequible su pro-pio programador, sin embargo, a menudo nos topamos con el problema del huevo y la gallina:El programador AVR que vamos a cons-truir utiliza por su cuenta un controlador AVR, que naturalmente ha de ser progra-mado previamente con un firmware, para lo cual sin embargo hace falta primero un programador...Aquí entra en juego el SCAP (Serial Cheap AVR Programmer): un programador AVR muy sim-ple con el mínimo número de componentes y conexión con el PC mediante el puerto RS232, o si nos servimos de un conversor USB-RS232, también mediante el puerto USB.El circuito incorpora un conector SUB-D de 9 pines (K1), que se conecta en el puerto serie del PC (RS232 o conversor USB-RS232). Ya que este cir-cuito incorpora diodos de protección preexistentes internamente en el AVR en los pines de I/O a VCC y GND, las resistencias R1 y R2 han de encargarse de limitar la corriente. En cualquier caso, la corriente que circule por los diodos ha de ser menor de 1 mA. El puerto RS232 sumi-nistra niveles de hasta ±15 V. A -15 V el diodo de protección interno del AVR a GND limita la tensión en el pin de I/O a un valor mayor de -0,7 V. A +15 V dicho diodo interno del AVR a VCC limita esta tensión a un valor inferior a VCC + 0,7 V. El motivo de estas resistencias de relativo alto valor R1 y R2 es que la recarga de las capacidades internas en los pones del AVR tarda más que las señales push-pull directas, con lo que la frecuencia de la línea del reloj SCK no ha de ser demasiado grande para evi-tar interferencias. La asignación de K2 se

He aquí una llamada de ejemplo para AVR-DUDE, para programar con el archivo hexade-cimal test.hex la memoria flash del ATmega8 mediante el SCAP conectado en el COM1 (gra-cias al parámetro por línea de comandos -p m8):

avrdude -P com1 -p m8 -c scap -i 300 -U flash:w:test.hex:i

El retardo para el reloj en SCK ha de fijarse mediante el comando por línea “-i 300” a 300 μs. Esta programación tarda relativa-mente bastante tiempo. Dependiendo del puerto (el tradicional RS232 o bien el con-versor USB-RS232) el valor puede reducirse hasta 50, con lo que la programación será más rápida. Bueno, realmente cuando el SCAP soluciona el problema del huevo y la gallina, poco importa que la programación se tome algo más de tiempo.

(110087)

Enlaces:[1] AVRDUDE:

www.nongnu.org/avrdude/

[2] AVRDUDE Versión para Windows: www.mikrocontroller.net/attachment/69851/avrdude-5.10.zip

corresponde con el conector estándar ISP de 6 pines de Atmel.Una herramienta de programación universal-mente conocida, que es perfecta para confi-gurar fácilmente el control del SCAP, es el famoso software de código abierto AVRDUDE (véase [1] y [2]). En el archivo de configuración avrdude.conf se incluye la siguiente sección:

Al programador se le otorga el nombre “scap”, y puede seleccionarse mediante el parámetro de la línea de comandos “-c scap”.El pin de reset ha de definirse en AVRDUDE, en el circuito se ha fijado a GND. En caso de que AVRDUDE no detecte tener conexión con el AVR, entonces ha de apagarse y encenderse brevemente la tensión de alimentación del AVR programado, para que este lleve a cabo un reset.

K1

12345

6789

SUB D9

K21

23

45

6ISP

R222k

R122k

MISO

SCK

RESE

TMO

SIGN

D

110087 - 11

# --------------------------------------------------------------------------------# Serial Cheap AVR Programmer (SCAP)# reset=rts sck=dtr mosi=txd miso=dcd

programmer id = "scap"; desc = "Serial Cheap AVR Programmer, reset=rts sck=dtr mosi=txd miso=dcd"; type = serbb; reset = 7; sck = 4; mosi = 3; miso = 1;;# --------------------------------------------------------------------------------

Personal Download for I © Elektor

63414

¡Suscríbete ahora a la revista americana líder en el campo de los microcontroladores y los sistemas embebidos!

Elige la suscripción que desees en www.elektor.com/cc-subs

12 ediciones al año por soloDigital: 38$Impreso: 63$Digital + Impreso: 90$

Anzeige CC ES xxxxxx.indd 1 19-05-11 09:52:41

Personal Download for I © Elektor

63414

74 7/8-2011 elektor

Filtro de medición para clase DTon Giesberts (Laboratorio de Elektor)

Este filtro es una versión mejorada del que ya publicamos hace seis años (Passive 9th-order Elliptical Filter, Julio 2005, [1]). Fue diseñado para poder hacer mediciones al amplificador clase T (ClariTy, Junio 2004, [2]). La precisión de nuestros aparatos de medición disminuye si hay gran presencia de componentes de fre-cuencia que están por encima de 200 kHz. De ahí la necesidad de filtrarlos con un filtro de corte muy pronunciado.El empeño en este diseño es la creación de un filtro con poca distorsión a 20 kHz y que pue-da soportar tensiones elevadas, apto para las etapas finales clase D más potentes. Los va-

lores teóricos son iguales a los del esquema original y el esquema casi no ha cambiado. La mejoría más importante está en la realiza-ción práctica de las bobinas. Estas son ahora bastante más grandes y con una rendija de aire, lo que favorece la distorsión.En la cantidad indicada de espiras de cada bo-bina, se ha partido del valor teórico del factor de inductividad del material del núcleo (AL). Quien disponga de un medidor L preciso, puede medir la bobina antes de soldarla en la

aproxima. Si necesitas menos espiras, pue-des simplemente quitarlas. Si necesitas más, puedes conectar una nueva espira a un ter-minal y conectarla a un tercer terminal. Mira bien el diseño de la placa (se puede descargar de forma gratuita en [3]) para ver entre qué terminales hay que conectar la bobina.Ambos lados de los soportes de las bobinas tienen seis conexiones en una fila. Tres termi-nales de un lado están interconectados con tres terminales del otro lado. Lo más práctico

placa. Hacer que las bobinas tengan el valor exacto no siempre será posible, porque hay que hacer una media espira como mínimo. Mide la bobina después de poner las espiras y calcula el valor AL real con la fórmula:

AL = L/N2,

donde L significa la inducción medida y N el número de espiras. Después puedes calcular de nuevo la cantidad de espiras que mejor se

-42

+ 3

-39

-36

-33

-30

-27

-24

-21

-18

-15

-12

-9

-6

-3

+ 0

dBr A

20 200k50 100 200 500 1k 2k 5k 10k 20k 50k 100k100540 - 12Hz

-84

+ 6

-78

-72

-66

-60

-54

-48

-42

-36

-30

-24

-18

-12

-6

+ 0

dBr A

100k 1M200k 300k 400k 500k 600k 700k 800kHz 100540 - 13

7W

R11k

C1

0

C2

1n

C3

120pC4

6p8

C5

1n

C6

270p

C7

680pC8

120p

C9

680p

C10

180p

C11

1nC12

120p

C13

1n

C14

0

C15

270pC16

220p

C17

680p

C18

0

C19

5p...57p

K1

K2

P1100R

L11mH15

L2689uH

L3557uH

L4802uHR2

59R0 7W

R3

1k

100540 - 11

A B

Personal Download for I © Elektor

63414

75elektor 7/8-2011

es conectar los finales del hilo de la bobina con los dos primeros terminales, termina-les 1 y 12. Si se requiere una espira adicional para corregir el valor de inducción, puedes conectarla entre el primer terminal y el se-gundo (o el undécimo y duodécimo). Enton-ces puedes cortar el primer terminal hasta la base de plástico (duodécimo) en la que el hilo estaba conectado al principio, de modo que ya no pueda hacer contacto con la placa.De esta manera, corregimos tres de las cua-tro bobinas que creamos para nuestro pro-totipo. Los valores medidos para L1...L4 son en nuestro caso: 1,16 mH, 689 μH, 555 μH y 816 μH.

Puedes ver la gráfica de respuesta en fre-cuencia de la banda de paso en la figura A. La amplitud sólo ha perdido 0,17 dB a 20 kHz (en comparación con la de 1 kHz) y 39 dB a 204 kHz. Si comparamos esta gráfica con la gráfica de respuesta en frecuencia B del artí-culo de julio 2005, podemos ver que el rizado hasta 180 kHz es más bajo. La causa de esto hay que buscarla en el factor Q más bajo de las bobinas ahora utilizadas, de modo que la curva se desvía un poco del rizado teórico propio de los filtros elípticos.Puedes ver la gráfica de respuesta en fre-cuencia de la banda de paso y la banda de bloqueo en la figura B. Las frecuencias de la

banda de bloqueo se atenúan más de 60 dB con la excepción de un bultito alrededor de los 800 kHz. El bultito se atribuye a todo tipo de tolerancias, también a las de los conden-sadores de 1%.Hemos probado el filtro con la tensión de salida máxima de nuestro analizador, 13 V. Puedes ver la distorsión del filtro a esta ten-sión en la figura C. La curva es más o menos igual que el límite inferior de nuestro anali-zador. En el futuro queremos desarrollar un amplificador especial capaz de proporcio-nar 70 Veff a 20 kHz con una distorsión ex-tremadamente baja. Entonces volveremos con una curva en la cual trazamos la tensión

Lista de materiales

Resistencias:R1,R3 = 1 k, 5%, 7 W (Tyco Electronics ER581K0JT)R2 = 59Ω, 1%, 0W6*P1 = 100Ω, 10%, 0W5 potenciómetro de ajuste de 24 vueltas (Vishay Spectrol M64W101KB40)

Condensadores:C1,C14,C18 = no utilizadoC2,C5,C11,C13 = 1 nF, 1%, 500 V, plata mica (Cornell Dubilier CD19FD102FO3F)C3,C8,C12 = 120 pF, 1%, 500 V, plata mica (Cornell Dubilier CD15FD121FO3F)C4 = 6p8, 1%, 500 V, plata mica (Cornell Dubilier CD15CD(6.8)DO3F)C6,C15 = 270 pF, 1%, 500 V, plata mica (Cornell Dubilier CD15FD271FO3F)C7,C9,C17 = 680 pF, 1%, 500 V, plata mica (Cornell Dubilier CD19FD681FO3F)C10 = 180 pF, 1%, 500 V, plata mica (Cornell Dubilier CD15FD181FO3F)C16 = 220 pF, 1%, 500 V, plata mica (Cornell Dubilier CD15FD221FO3F)C19 = 5/57 pF, 250 V, condensador de ajuste PTFE (Vishay BCcomponents BFC2 809 08003)

Bobinas:L1 = 1m15, 85 espiras de 0,8 mm de hilo de cobre esmaltado*L2 = 689 μH, 65.5 espiras de 0,8 mm de hilo de cobre esmaltado *L3 = 557 μH, 59 espiras de 0,8 mm de hilo de cobre esmaltado *L4 = 802 μH, 71 espiras de 0,8 mm de hilo de cobre esmaltado *

Varios:K1,K2 = Conector BNC acodado para placa impresa, 75 Ω (Tyco Electronics 1-1478032-0)Arandela de seguridad, BNC / TNC (Tyco Electronics 1-1634817-0)Tuerca, BNC / TNC (Tyco Electronics 1-1634816-0)L1...L4 = RM14 juego de núcleos, 160nH (AL) N41 (Epcos B65887E160A41), por ejemplo RS #212-6772

RM14 soporte de bobina de 12 terminales (Epcos B65888C1512T1), por ejemplo RS #212-6839RM 14 abrazadera, muelle de acero inoxidable (Epcos B65888A2002X, 2 por bobina), por ejemplo RS #647-9323

RM 14 placa aislante, base (Epcos B65888B2005X), por ejemplo RS #180-1210,8 mm hilo de cobre lacado (Pro Power ECW0.80)

* ver texto

Personal Download for I © Elektor

63414

76 7/8-2011 elektor

de entrada contra la distorsión. La distorsión a 1 kHz y un ancho de banda de 22 kHz es menor del 0,00018%. La distorsión + ruido (THD+N) aumenta algo a unos 0,00028% con un ancho de banda de 80 kHz. La distorsión alcanza cerca del 0,0004% a un ancho de banda de 20 kHz y 80 kHz.Como el filtro soporta ahora ten-siones más altas, se ha empleado resistencias de potencia. Parti-mos de 70 Veff en 1 kΩ (unos 5 W; las resistencias descritas en la lis-ta de materiales son de 7 W). La resistencia de entrada está com-puesta por una resistencia de potencia y una resistencia más pequeña. Aconsejamos me-dir R1 y calcular R2. Juntas tienen que tener el valor de 1060 Ω. En nuestro prototipo hemos sustituido R2 por una resistencia de 73,2 Ω como compensación a la tolerancia de R1.Con P1 (multivuelta) en la salida puedes ajus-tar la atenuación a exactamente dos veces

de aire con diferentes tamaños, pero también hay núcleos de aire sin rendija. Nosotros optamos por la rendija de aire más gran-de (valor AL más pequeño). Por eso hay que colocar más espiras y puedes ajustar mejor la bobina al valor teórico. Otra ventaja de la rendija de aire es que la toleran-cia del factor de inducción (AL) sólo alcanza +/-3%. ¡Sin la rendija de aire alcanzaría +30/-20%!

(100540)

Enlaces Web:[1] www.elektor.com/044042

[2] www.elektor.com/030217

[3] www.elektor.es/100540

a una frecuencia de 1 kHz. Con C19 puedes compensar la capacidad parasitaria (utilidad más teórica que práctica) del cable en la en-trada del analizador.Cuando reconstruyas este filtro, hay que prestar mucha atención al pedir el juego de núcleos correcto (ver el número de tipo en la lista de materiales). Hay versiones de rendijas

0.0001

10

0.0002

0.0005

0.001

0.002

0.005

0.01

0.02

0.05

0.1

0.2

0.5

1

2

5

%

20 20k50 100 200 500 1k 2k 5k 10kHz 100540 - 14

C

Timbre WAVMichael Gaus (Alemania)

Este timbre electrónico con el ATmega328P es en realidad un pequeño reproductor WAV, que se activa mediante el pulsador del timbre y reproduce un breve archivo WAV con la uni-dad PWM integrada. De este modo podemos tener en nuestro timbre tonos individuales al igual que ocurre en los teléfonos móviles, que podemos cargar por nuestra cuenta. Los archivos WAV se almacenan en la memoria flash que incorpora el microcontrolador, no se necesita una memoria adicional. Para apañárnoslas con el mínimo número posible de componentes, hemos prescindido del filtro paso bajo en la salida PWM del con-trolador AVR. En lugar de eso, se ha conec-tado un pequeño altavoz directamente a tra-vés de un condensador electrolítico y una resistencia en serie. Hemos de advertir que no puede conectarse ningún amplificador ni altavoz activo sin el filtro paso bajo necesa-rio, ya que podrían dañarse con la señal PWM sin filtrar.Inmediatamente después de conectar la ali-mentación, el sonido almacenado en el tim-

el botón, sale de este modo standby e inicia la reproducción del archivo WAV.

bre se reproducirá y el controlador AVR pasará al modo standby de bajo consumo. Al pulsar

PC4(ADC4/SDA)PC5(ADC5/SCL)

PB3(MOSI/OC2)PB2(SS/OC1B)

PD4(XCK/T0)

PC6(RESET)

ATMEGA328P

PC2(ADC2)PC3(ADC3)

PD2(INT0)PD3(INT1)

PD6(AIN0)PD7(AIN1)

PC0(ADC0)PC1(ADC1)

PB0(ICP1)PB1(OC1A)

PB4(MISO)PD0(RXD)PD1(TXD)

PB5(SCK)

PD5(T1)

XTAL1 XTAL2

(DIP)

AREF

IC1

AVCC

GND GND

VCC20

21

141516171819

22

232425262728

1213

10

11

1

234

7

89

56

LP2950CZ-5.0IC2

C3

100n

C2

1u16V

LS1

8

S1

P1

100R C1

16V100u

BT1

9V

+5V

110080 - 11

Personal Download for I © Elektor

63414

77elektor 7/8-2011

Los archivos WAV deben tener el siguiente formato: RIFF-WAVE Format/PCM, 8 bits, mono y con muestreo de 8 kHz. La memo-ria flash del ATmega328 es de 32 KB. Se ha reservado 1 KB para el firmware (o sea, 1024 Bytes), con lo que el archivo WAV puede tener un tamaño máximo de 31744 bytes. Esto corresponde a un tiempo total de reproduc-ción de casi 4 segundos.El firmware se almacena en el área de 0x0000-0x03FF, a partir de 0x0400 empieza los datos en WAV.Si el archivo WAV no se encuentra en el for-mato PCM nombrado arriba, podemos con-vertirlo mediante la herramienta freeware Audacity [1]. Abrimos el archivo en Auda-city y abajo a la izquierda seleccionamos en “tasa de proyecto” 8000 Hz. Además, tam-bién podemos marcar y cortar las partes del archivo WAV que queramos, para determinar por ejemplo cuando empieza y cuando acaba. Para convertir los archivos de formato esté-reo a mono, hacemos clic en el cuadro con el nombre del archivo y seleccionamos “dividir pistas estéreo”. Después podemos por ejem-plo eliminar el canal derecho haciendo clic en la X, y seleccionando en el canal izquierdo la pequeña flecha de “mono”. En “editar” => “configuración” hemos de fijar el “formato de

archivo” como “WAV (Microsoft 8 bit PCM)” sin comprimir. Para guardar el archivo, selec-cionamos el área deseada y en “archivo” pinchamos en “exportar a WAV”. Ahora ya deberíamos tener el archivo en el formato correcto. En el explorador de Windows hace-mos clic derecho sobre el archivo, después en “propiedades” y en “resumen” podremos chequear el formato otra vez.

Para pasar el archivo WAV a hexadecimal a la hora de programar el ATmega328P, hemos utilizado la herramienta “hex2bin” [2]. Ésta convierte los archivos WAV binarios a for-mato Intelhex e inserta los datos hexadeci-males que contienen el código del AVR. Así, se genera un hexadecimal que contiene tanto el firmware como el archivo WAV, con el cual se programa el ATmega328P. La rutina de con-versión completa se inicia mediante el archivo de batch “convert.bat”.El firmware lee de la cabecera del WAV el tamaño de los datos y los reproduce según la longitud correcta.El hexadecimal ya listo consiste en el fir-mware con un archivo WAV. En el archivo ZIP se encuentra un WAV que incluye el sonido de un gong (“dingdong”), con el nombre “tuer-gong.hex”. Éste ya puede programarse direc-

tamente en el ATmega328P. No obstante, en el subdirectorio “firmware/default” se incluye el archivo “code.hex” que consta únicamente del firmware (es decir, sin el WAV integrado). Hemos de utilizarlo a la hora de integrar nues-tro propio archivo WAV, sirviéndonos de la rutina de batch (anteriormente nombrada) para integrar el hexadecimal.

El código para el ATmega328P se ha gene-rado en AVR-Studio mediante el compila-dor en C gratuito WINAVR. El proyecto com-pleto, archivo hexadecimal incuido, está dis-ponible en la carpeta “firmware” del archivo ZIP (que puede descargarse en [3]). Hemos de programar los bits de fusibles de la AVR de la siguiente forma:Low-Fuse: 0xE2, High-Fuse: 0xD9, Extended-Fuse: 0xFF

(110080)

Enlaces:[1] http://audacity.sourceforge.net

[2] http://hex2bin.sourceforge.net

[3] www.elektor.es/110080

Cargador USB a pedalesVon Werner Wille (D)

Quien pasea a menudo en bicicleta quizás ha pensado alguna vez en cargar sus dispositivos móviles como el teléfono o el navegador con la “corriente de abordo” de la dinamo. Como muestra el circuito aquí presentado, gracias al uso de un regulador integrado la parte elec-trónica no resulta demasiado compleja.

La tensión alterna que suministra la dinamo de la bicicleta es conver tida a continua mediante un puente rectificador (D1-D4) y un condensador de carga (C1). Para el recti-ficador se utilizan diodos Schottky, ya que su caída de tensión es alrededor de la mitad de la de un diodo de silicio normal (unos 0,3 V en lugar de 0,75 V por diodo a 1 A). Esto tam-bién es necesario debido a que el regula-dor LT1076-CT5 utilizado requiere unos 8 V para suministrar a la salida 5 V, y alimentar o recargar los dispositivos móviles conectados en el puerto USB. El condensador C1 se carga

una dinamo de eje suele ser de unos 10 V. Una vez cargado, lógicamente su tensión media

mediante el puente rectificador a la tensión de pico de alterna, que particularmente en

*

D1

D4

D3

D2

C1

1000u35V

K1

G1

6V/3W

LT1076-CT5

IC1

FB

5 4

3 2C

1

R1

1k5

C2

33n

L1100uH

C3

470u16V

+UUSB

K2

+5V

GND

D –D +

1234

USB-A

100676 - 11

D5

D1...D5 = SB540

Personal Download for I © Elektor

63414

78 7/8-2011 elektor

es menor, pero para el LT1076-CT5 sigue siendo suficiente, al menos si utilizamos dio-dos Schottky para el rectificador y 1000 μF (o incluso más) para C1.

El LT1076-CT5 es un convertidor reductor integrado de 2 A, cuya tensión de salida se fija internamente a 5 V si conectamos su pin de realimentación FB (el pin 1 del integrado) directamente con la tensión de salida del con-densador electrolítico C3. Ya que se trata de un regulador, C3 ha de ser de baja ESR (por

salida de la tarjeta. Naturalmente hemos de estar atentos a la polaridad correcta. Para pro-tegerlo de las inclemencias del tiempo, tras soldar la tarjeta lo mejor es fijar el cable (por ejemplo con un clip de sujeción) y recubrir la entrada y la salida con resina.

100676

[1] www.linear.com/product/LT1076-5

ejemplo la serie FC de Panasonic). La conexión del integrado se corresponde con el circuito de aplicación estándar descrito en la hoja de datos [1] de Linear Technology. La inductan-cia de 100 μH utilizada para L1 ha de sopor-tar un mínimo de 1 A en DC (DC-R pequeña, de unos 0,3 Ω).

El circuito puede montarse fácilmente en una tarjeta perforada. Como cable USB a la salida se ha utilizado un alargador dividido en dos, soldando el puerto USB a ambos pines de

ATM18 – Anti-gritónGrégory Ester (Francia)

Cuando se desea adquirir una buena obra técnica, tendemos a limitar los criterios de la búsqueda a la familia a la cual pertenece el microcontro-lador (el AVR de Atmel, por ejemplo), y al lenguaje de programación al que estamos acostumbrados a usar, BAS-COM-AVR, por citar sólo éste. Pues bien, ¡estamos equivocados!A la lectura del estreno del libro de Bert van Dam, llamado «50 nuevas aplicaciones de microcontroladores PIC» [1], podríamos pensar que los montajes que abordan, de manera sistemática y muy detallada, la pro-gramación de los PIC 16/18 en len-guaje JAL, son incompatibles con nuestras costumbres. O, nos gustaría realizar todas estas aplicaciones sin tener que adentrarnos en un nuevo lenguaje y sin invertir en un nuevo programador. Entonces, ¿por qué no inspirarse en las ideas del autor manteniéndonos fieles a nuestras costumbres? ¡Sería una pena privarnos de una buena realización en concordancia!La descripción del montaje «Anti-gritón» cuya cuestión es aquí introducida por estas pala-bras: «¿Nunca ha soñado con un medio no vio-lento de alejar estos grupos de gente grosera y ruidosa que no paran de detenerse bajo su ventana apacible? […] Si somos adolescentes, este proyecto nos permitirá realizar una señal secreta e inaudible para los adultos!»El sonido muy agudo, de 16 kHz, que vamos a generar será desagradable, sobre todo entre los adolescentes y niños para los cuales el

Para producir una señal cuadrada a una frecuencia definida, vamos a utilizar el temporizador timer0. Con cada pulso recibido, se incre-menta el temporizador timer0 y se genera una interrupción cuando el registro se desborda. El registro que contiene el estado del conta-dor es accesible en escritura, con lo que es posible fijar un valor ini-cial de precarga que modificará la frecuencia de desbordamiento. El desbordamiento vuelve a colocar el contador a cero, en la rutina de interrupción, con lo que habrá que cargar cada vez el registro con el valor de inicio.La frecuencia del cristal de cuarzo es de 16 MHz. Demasiado elevada, por lo que vamos a utilizar un pre-divisor. Con una pre-división de 8 y si, a cada desbordamiento de timer0, invertimos la salida PC0, la fórmula F =16 x106/8 /preload_value / 2 nos permitirá obtener directamente la frecuencia sonora

en función al valor de precarga (preload_value). En teoría, el valor de 62 nos permite la generación de una frecuencia de 16,1 kHz. Las tareas inherentes al funcionamiento del microcontrolador toman su tiempo, por lo que se genera una señal diferente entre la teoría y la realidad. En la práctica se midió una frecuencia de 16,1 kHz para un valor pre-load_value de 55.¿Cómo probar este montaje si somos un adulto de más de 30 años?, ya que, en ese caso, nuestro oído corre el peligro de no ser sensible al sonido emitido. Pues bien, con el

oído es todavía «nuevo”. Una placa ATM18 [2] y un zumbador conectado a PC0 del ATM18, en serie con una resistencia de 100 Ω, son los ingredientes principales. Lo “aderezamos” todo con un pequeño programa, escrito en BASCOM-AVR, y obtendremos nuestro repe-lente de gritones.El zumbador piezoeléctrico posee una mem-brana conectada a un cristal. Vamos a utili-zar un terminal de nuestro microcontrolador para hacer vibrar la membrana a una cierta frecuencia y, así, emitir un sonido que se va a hacer insoportable.

R1

10k

R2

2k2

P1

2k2LIN

K1MIC

110397 - 11

LR

GND

LL R

R GNDGND

PC0

GND

ATM18

Personal Download for I © Elektor

63414

79elektor 7/8-2011

analizador de espectro software propuesto gratuitamente por Bert van Dam. Cuando se es viejo, hay que estar equipado con el equipo adecuado… dirán algunos.El montaje propuesto por Bert permite bajar la tensión máxima de la entrada de micró-fono de la placa de sonido de 5 V a unos 0,9 V. Atención, un mal cableado podría provo-car daños irreversibles en su PC. Después de haber descargado y descomprimido el fichero disponible gratuitamente [1], copia-remos y pegaremos el fichero VBRUN300.DLL del directorio Señal Generator, en el directo-rio Frequency Analyser. El micrófono de su PC debe estar activado y su control de volumen ajustado al máximo.

Antes de alimentar el montaje, ajustaremos el potenciómetro P1 del interfaz de protección a cero. Pulsaremos dos veces sobre el fichero eje-cutable Analyser.exe y, después, un clic sobre Run para arrancar el programa. Gire el botón del potenciómetro hasta que aparezca un pico de la señal. Haga clic con el ratón cerca de la cresta para desplazar la línea azul. El pequeño trazo rojo en la captura de pantalla va a buscar la señal más fuerte situada en las proximidades de la línea azul. Los valores son visibles abajo, a la derecha, al lado del botón Stop.Una vez acabado este proyecto, haced como Bert, esperad a que sus niños vengan a visi-tarle a su despacho, ponga inocentemente el circuito bajo tensión y espere… Las reac-

ciones no se hacen esperar y el veredicto no tiene apelación, ¡nuestro repulsivo fun-ciona! ¡Adiós a los niños, papá aún tiene algo de trabajo!

Hay que señalar que, en ciertos países, el uso de un dispositivo de este tipo está prohibido.

(110397)

Enlaces en Internet[1] www.elektor.fr/extra/livre-

50-nouvelles-applications-a-microcontroleur.1542238.lynkx

[2] www.elektor.es/atm18

[3] www.elektor.es/110397

Limitador de tensión para amplificadoresde guitarra

Alfred Rosenkränzer (Alemania)

En los amplificadores de guitarra con eta-pas de salida integradas como el TDA7293 (100 vatios) o el LM3886 (68 vatios) a veces ocurre que dichos integrados se estropean debido a la alta tensión de funcionamiento en reposo. Obviamente los transformadores están dimensionados demasiado justos, con lo que si tenemos tensiones de red más altas de lo normal puede darse este problema. Sin embargo, con nuestro margen la tensión de red puede ser de hasta 253 V (230 V ±10 %).Ya que cambiar el transformador sería más costoso, el autor ha diseñado otra solución electrónica relativamente simple como pro-tección ante sobretensiones: un limitador de tensión para la alimentación simétrica del amplificador.El circuito utiliza el principio clásico de un estabilizador de tensión con un diodo Zener en la base del transistor. Sin embargo, aquí se utiliza un MOSFET de potencia en lugar de un transistor bipolar.Ya que el circuito se ha diseñado de forma simétrica para disponer de alimentación posi-tiva y negativa, en adelante se describe sólo la parte positiva.La tensión de entrada (50 V como máximo) alimenta a través de R3 los diodos Zener D1, D2, D3 conectados en serie. La corriente a tra-vés de éstos está limitada por R3 a unos 5 mA.

Los HEXFETs han de tener una disipación de calor adecuada, si es posible mediante el propio disipador del amplificador, y si no mediante un disipador independiente (basta con uno de 2,5 K/W).

(110083)

La conexión en serie de los diodos Zener tiene la ventaja de que las pérdidas de potencia se reparten, aparte, si elegimos los diodos ade-cuadamente podemos alcanzar la tensión deseada con facilidad. La suma de las ten-siones de los diodos (según el dimensiona-miento dado son 39 V) ha de ser mayor en el margen de la de puerta-fuente, que la ten-sión de salida deseada (limitada). Aparte, C1 suaviza la tensión de los diodos Zener. Así, el circuito no sólo se encarga de limitar la ten-sión, sino también de reducir el rizado de la alimentación (proporcionalmente). La puerta del HEXFET se regula a través de R1. La oscila-ción del FET se evita gracias a C4.Sin carga, la tensión de salida es algo mayor de lo esperado. Con una carga pequeña, la cual ya existe con la corriente de standby de la etapa de salida, volvemos al valor deseado. El circuito no consiste en una regulación para la tensión de salida, si no únicamente en su estabilización.La función en la parte negativa de la alimen-tación es idéntica excepto por la polaridad inversa de la tensión, y por ello este motivo ha de utilizarse un MOSFET canal P.Hemos de tener en cuenta que la tensión de puerta-fuente de este HEXFET tiene toleran-cias relativamente altas, y pueden apare-cer diferencias de unos cuantos voltios. Esto puede compensarse eligiendo bien las ten-siones y corrientes de los diodos Zener, que generalmente no suelen ser demasiado críti-cas, ya que el principal objetivo es evitar una tensión de funcionamiento demasiado alta.

T1IRFP240

T2IRFP9240

R1

560R

R2

560R

R32k2

R42k2

D1BZX84-12

D2BZX84-12

D3BZX84-15

D4BZX84-12

D5BZX84-12

D6BZX84-15

C1

10u

C3

10u

C4

100n

C2

100n

+VOUT

–VOUT

+VIN

–VIN110083 - 11

Personal Download for I © Elektor

63414

80 7/8-2011 elektor

Unidad de interfaz I2C

Joachim Dombrowa (Alemania)

En muchos proyectos de microcontrola-dores se utiliza un LCD y a menudo tam-bién botones. Generalmente esto requiere muchos pines de puerto del controlador, y luego para el resto de la aplicación (con o sin funciones alternativas) ya no dispo-nemos de más. Los circuitos aquí presen-tados para un LCD compatible HD44780 y un teclado numérico utilizan el bus I2C, que sólo requiere dos pines de puerto del con-trolador, SCL y SDA. Ambos circuitos pue-den ir naturalmente en la misma carcasa y son controlados mediante estas dos líneas de datos, ofreciendo un compacto panel de funcionamiento al usuario. Además, este planteamiento permite la separación por módulos, ya que esta unidad pasa a ser intercambiable para otras muchas tareas con controladores. Lo importante es que el controlador soporte el bus I2C. Un ejem-plo aquí es el ATmega88, que se utilizó por ejemplo en el proyecto ATM18 o en la tarjeta experimental del ElektorBus.El núcleo de los circuitos lo forma una expansión de I/O PCF8574 [1] (atención, el PCF8574 está disponible en dos versio-nes idénticas en funciones, que cubren rangos de direccionamiento I2C distintos; ¡hemos de consultar la hoja de datos!). La expansión de puertos funciona como slave, mientras que el controlador de la aplicación hace de master. En el protocolo I2C el mas-ter en la escritura envía primero las direc-

ciones de los slaves y después uno o más bytes de datos a través del bus. Estos bytes de datos contienen la muestra de bits que queremos poner en los pines de puerto P0 a P7. De forma análoga ocurre con la lec-tura, el byte de datos muestra el estado de P0 a P7.

Empezamos por el teclado. En este circuito el PCF8574 tiene la dirección 42hex asignada (A0=nivel alto, A1 y A2=nivel bajo). El mues-treo se hace por columnas, funcionando los pines de puerto P0 a P2 como salidas y P4 a P7 como entradas. Uno tras otro tenemos la serie de bits 1111:1110, 1111:1101 y 1111:1011. Tras cada salida de datos el módulo del puerto lee y comprueba los cuatro bits superiores. Cuando se tiene por ejemplo la muestra 1111:1110 (columna 1) y por lo tanto se leen los bits 1011:1110, significa que se ha pulsado la tecla “7”.

En el circuito de control del LCD la expansión de I/O tiene asignada la dirección 40hex. El display se controla en un modo de 4 bits. Ya que sólo hay que enviar datos al LCD, la línea de control R/W se ha cableado a masa. RS (Register Select, byte de instrucción =0, byte de registro =1) se controla mediante el pin de puerto P2. Se obtiene del controla-dor del LCD un byte de datos o instrucción cuando en E (pin de puerto P3) aparece un flanco negativo. Sin embargo, no podemos controlar este pin independientemente de

PCF8574

IC1INT

SCLSDA

VDD

GND

101112

1514

13

P0P1P2P3P4P5P6P7A2

A1A0

16

456

123

79

8

LC DISPLAY

LCD1

LEDA

LEDC

VSS

VCC

R/W

DB0

DB1

DB2

DB3

DB4

DB5

DB6

DB7

VO RS

10 11 12 13 14 15 161 2 3 4 5 6

E

7 8 9

P110k

+5V

110079 - 11

GND

+5V

SCL

SDA

C1

100n

PCF8574

IC1INT

SCLSDA

VDD

GND

101112

1514

13

P0P1P2P3P4P5P6P7A2

A1A0

16

456

123

79

8

+5V

GND

+5V

SCL

SDA

110079 - 12

S1

1

S2

2

S3

3S4

4

S5

5

S6

6S7

7

S8

8

S9

9S10

*

S11

0

S12

#

1 2 3

4

5

6

7

Key-Pad

C1

100n

Código 1

void LcdPanel_InitEN (byte nData)// nData = Portpins P2,P4..P7// Bit 3 = 0 (EN=0)Twi_WriteByte(0x40, nData);AppDelay_10us(50);

// Bit 3 = 1 (EN=1)Twi_WriteByte(0x40, nData | 0x08);AppDelay_10us(50);

// Bit 3 = 0 (EN=0)Twi_WriteByte(0x40, nData);AppDelay_10us(50);

Código 2

void LcdPanel_SendCmd (byte nCmd)byte nNib;

// High-NibblenNib = nCmd & 0xf0;Twi_WriteByte(0x40, nNib);

// Enable-PulsLcdPanel_InitEN(nNib);

// Low-NibblenNib = (nCmd & 0x0f) << 4;Twi_WriteByte(0x40, nNib);

// Enable-PulsLcdPanel_InitEN(nNib);

Personal Download for I © Elektor

63414

81elektor 7/8-2011

Central universal intermitente de tres hilos para motocicletas

Georges Treels (Francia)

Las centrales intermitentes clásicas de las motocicletas presentan dos inconvenien-tes principales. El primero es que necesitan una corriente de funcionamiento suficiente para activar la conmutación. Por lo tanto, es imposible el que funcionen en modo «LED”, de menos de 5 W, o en modo incandescente (> 20 W). En segundo lugar, ofrecen poco o ningún aviso sonoro en caso de olvido de apagado. Así, como estas máquinas no están equipadas con un detector de retorno al eje, muchos usuarios se olvidan de cortar el intermitente.La solución simple de dos diodos y de un zum-

y T3 deja de conducir. IC1 conmuta ahora en el otro sentido, la salida de IC2.B lo sigue un poco más tarde y T3 empieza de nuevo a con-ducir. Y así sucesivamente.El conjunto de D2, D3, R5, R6 y T1 constituye el equivalente a una puerta NOR que controla la puesta a cero del contador que sigue. Esta puerta permite el reinicio del contador, incluso sin corte del contacto. En efecto, en cuanto los terminales 1 y 4 IC2 están simultáneamente a cero, el contador es reiniciado a cero.El contador, IC3, es un CD4060, cableado para que su salida Q6 pase a su estado alto cada 64 impulsos, aplicados sobre el terminal 11. Así como la red R4-C3 proporciona un tiempo de conmutación de alrededor del segundo, al cabo de casi un minuto, la salida Q6 de IC3 pasa al alto estado y, a través de IC2.C, IC2.D

bador es eficaz, pero bastante penosa en ciu-dad, ya que el “bip-bip” se hace rápidamente insoportable. El motivo de este montaje es, pues, el de resolver este problema. Funciona con cargas de entre 1 y 40 W.El diodo D1 protege el montaje contra toda inversión de polaridad en la conexión. Este diodo soporta hasta 6 A en un encapsulado reducido.El conjunto de R1, T3 e IC1 constituye un detector de corriente. IC1 está montado como comparador y conmuta realmente en función de la corriente que pasa por R1 y del ajuste de P1.IC2.A e IC2.B están cableados como monoes-table. Tan pronto como la salida de IC1 cambia a nivel alto, R4 comienza a cargar a C3. A con-tinuación, la salida de IC2.B pasa a nivel alto

12

3

IC2.A

&56

4

IC2.B

&

89

10

IC2.C

&1213

11

IC2.D

&

IC1

2

36

7

4

741R4

220k

C1

100n

C2

470u

C3

4u7

R2

470R

P1

100k

D3

1N4148

D2

1N4148

R5

10k

R647k

T1

2N2222

R7

1k

R8100k

C4

100n CTR14

IC3

CD4060

CT=0

RCX

10

11

12

151314

11

1312

CT

CXRX

!G

16

1

6457

9

3456789

32

+

8

T2

2N2222

R910k

BZ1

V+

R1

0R1

10W

SHUN

T

V+

IC214

7IC2 = 4093A

T3

IRF9Z34

D1

FE6A

+BA

TT

COM

GND

100939 - 11

los otros, sino que tendremos que “consul-tar” el estado del resto de pines de puerto y obtener después la muestra de bits completa. El código 1 muestra un pequeño extracto en C para enviar los correspondientes pulsos de “enable” al LCD.

El segundo fragmento en C muestra el envío de un byte de instrucción al LCD, que primero tiene que decodificarse en nibble alto y nib-ble bajo. Correspondientemente, también funciona con un byte de datos, que ha de enviarse al LCD. Aquí ha de fijarse adicional-

mente el bit 2 en la muestra de bits, con lo que P2 se pone a nivel alto.

(110079)

[1] www.nxp.com/documents/data_sheet/PCF8574.pdf

Personal Download for I © Elektor

63414

82 7/8-2011 elektor

y T2, el zumbador (“buzzer”) es activado al ritmo del intermitente.En la descarga que acompaña este artículo [1], encontraremos el dibujo de una placa hecho por el autor y también unas fotos de su rea-lización. La anchura de la placa está basada en el diámetro interior de un tubo de PVC de

FET no necesita un radiador. ¡Debemos fijar la placa en el tubo con la ayuda de la cola tér-mica sin pegar el potenciómetro P1!

(100939)

Enlaces en Internet[1] www.elektor.es/100939

32 mm, es decir, el diámetro estándar de las centrales habituales. La longitud está sujeta a las necesidades de “rutado” de la placa, pero muy por debajo de las cotas a respetar en la mayoría de las motocicletas. Debemos pensar en estañar las pistas que soporten una corriente importante. El MOS-

Placa multiconector para PIC10F2xx (SOT23-6)Luc Lemmens (Laboratorio de Elektor)

Hay toda clase y medidas de Microcontrola-dores. Incluso para las tareas más sencillas es muy tentador emplear un integrado como este. Para estas tareas existen microcontro-ladores muy pequeños y baratos, como es la serie PIC10F2xx de Microchip. Por sus peque-ñas dimensiones y dado que los terminales de los puertos pueden suministrar y drenar hasta 25 mA, estos controladores son per-fectamente aptos para controlar LED de efec-tos luminosos en miniatura. Pueden seguir haciendo su tarea, incluso con una tensión de tan sólo 2 V, así que se pueden utilizar con una alimentación de pilas (de botón). Pero sus pequeñas dimensiones tienen también algunas desventajas. En primer lugar, los ter-minales del integrado son tan pequeños que soldarlos no es realmente una tarea fácil y tampoco se pueden utilizar en una placa de pruebas o de un prototipo. En realidad sólo son ‘programables en circuito’, de modo que se necesita siempre un conector adicional para la programación (un zócalo ZIF para un programador sería carísimo – si existiese).

del mismo integrado, que se podría haber utilizado.Aunque todo es más fácil con pasta de soldar y un soldador de aire caliente, este integrado de 6 patas también se puede soldar con una soldadora normal en la placa representada. El estaño sobrante se elimina con una trenza para desoldar. Todos los terminales están dis-ponibles a través del conector SIL K1 con un paso de 100 mil, que encaja perfectamente en las placas de pruebas y de prototipo para el diseño de un prototipo. Además, este conec-tor encaja en los programadores PICkit2 y -3 uno a uno.Al lado de los terminales del integrado hay unos islotes más grandes que pueden servir de conexión para cables, resistencias, LED, etc. Cuando se haya terminado completa-mente el prototipo y el software, puedes serrar/limar la parte de la placa que hay fuera de estos islotes, de modo que sea más fácil de instalar en una caja en miniatura.

(110442)

La placa que presentamos aquí sirve para tra-bajar más fácilmente con la serie PIC10F2xx de Microchip en el encapsulado SOT23-6, sin que todo sea tan grande como la versión DIL

K1

K2

K3

GP0/ICSPDAT1

VSS

2

GP1/ICSPCLK3

GP2/T0CKI4

VDD

5

GP3/MCLR/VPP6

IC1

PIC10F2xxx

110442 - 11

Regulador para un generador de corriente trifásicaJac Hettema (NL)

Este regulador fue diseñado para un genera-dor con una tensión de salida elevada. Este tipo de generadores se utiliza en algunos bar-cos y vehículos de emergencia. Lo que se trata aquí es una versión adaptada de un genera-

El generador es un ejemplar trifásico cuyo devanado de campo funciona a 12 VDC. El con-sumo del devanado de campo y el número de revoluciones determinan la tensión de salida. Como esta tensión es relativamente alta, se suministra a través de optoacopladores al 723, el cual se utiliza, por lo demás, en una configuración estándar. Su salida controla dos 2N3055 puestos en paralelo a través del dri-

dor normal que se emplea en coches. El deva-nado de campo está conectado a la tensión de a bordo de 12 (ó 24) V, mientras que el deva-nado de generador está hecho para 230 V. Esta tensión de 230 V se tiene que mantener estable mediante el devanado de campo de 12 V. Aunque para eso se puede utilizar per-fectamente un estabilizador conmutado, aquí se optó por un diseño con el tradicional 723.

Personal Download for I © Elektor

63414

83elektor 7/8-2011

ver T1, que proporcionan la corriente para el devanado de campo.En el prototipo se han utilizado optoacopla-dores TLP620. Son aptos para tensiones alter-nas porque llevan en su entrada dos LED pues-tos en antiparalelo. Con ellos el regulador funciona bastante bien, la tensión de salida queda dentro de los límites establecidos en un amplio alcance de número de revolucio-nes. Sin embargo, en este tipo de optoaco-plador la sensibilidad de los dos LED internos puede ser diferente, porque en el proceso de fabricación es complicado crear la misma dis-tancia entre ambos LED y el transistor. Para una regulación más precisa se puede utilizar mejor dos optoacopladores por fase, cuyas entradas estén puestas en antiparalelo y las salidas simplemente puestas en paralelo.Si por debajo y en el medio de cada optoaco-plador haces un corte con la sierra en la placa, creas suficiente aislante entre los lados prima-rios y secundarios.En vez de un BD136 para T1 puedes utilizar también un TIP32 o algo parecido. Para T2 y T3 utiliza preferiblemente versiones con un encapsulado de plástico, por lo tanto no el encapsulado TO3.

(110441)

TLP620

IC11

2

6

4

R1150k

R2150k

TLP620

IC21

2

6

4

R3150k

R4150k

TLP620

IC31

2

6

4

R5150k

R6150k

R

S

T

LM723-2

LIMIT

SENSE

IC4VREF

+IN

VEE

VCC

COM

-IN

VC

VO

13

10

12 11

7

6

5

4

2

3

R7

1k

C3

1u

C1

4u716V

P1

10k

C2

1n

R12

0R56

R8

100R

R9

1k

R10

0R1

R11

0R1

T1

BD136

T2

2N3055

T3

2x

D1

1N4007

L1

BT112V

*

110441 - 11

Temporizador para “jogging”

Stefan Hoffmann (Alemania)

Hacer ejercicio físico con regularidad es muy importante, sobre todo para compensar todo el tiempo que nos pasamos sentados frente a la mesa del laboratorio. El “jogging” es uno de los deportes más populares y efectivos.

hemos estado entrenando. Así, el corredor electrónico se ahorrará tener que comprar uno de esos caros relojes especiales para esto.Al principio del entrenamiento, encendemos el dispositivo y simplemente lo metemos en el bolsillo. Gracias a la señal acústica no tenemos

Sin embargo, requiere un entrenamiento regular y sistemático.El temporizador de “jogging” aquí presentado emite un sonido mediante un zumbador pie-zoeléctrico cada diez minutos, y gracias a ocho LEDs indica cuantos bloques de diez minutos

ATTINY44

IC1 PA1PB0/X1 PA2

PA3PA4PA5PA6PA7

PA0

PB1/X2

PB3PB2

VCC

GND14

121110

13

1

2

9876

3

45

R1150R

D1

R2150R

D2

R3150R

D3

R4150R

D4

R5150R

D5

R6150R

D6

R7150R

D7

R8150R

D8

R9

150R

D9

SEC

BZ1

BT1

4V5

110160 - 11

Personal Download for I © Elektor

63414

84 7/8-2011 elektor

que andar mirando el reloj, y podemos con-centrarnos plenamente en la carrera. Si fuera necesario, podemos mirar cada cierto tiempo el indicador y saber cuántos intervalos de 10 minutos han transcurrido.El circuito se ha diseñado con un pequeño microcontrolador Atmel ATtiny44 y está programado en BASCOM. Tras encenderlo se ejecuta un breve test de funcionamiento

como siempre [1] y puede modificarse con facilidad. La versión demo de BASCOM es más que suficiente para este propósito.

(110160)

[1] www.elektor.es/110160 (descarga del software)

del zumbador y los LEDs. Mediante una inte-rrupción del timer los LEDs parpadean cada segundo, con lo que podemos comprobar en cualquier momento si el circuito está fun-cionando. Aparte, otro timer lleva la cuenta total. Cada diez minutos saltará la señal del zumbador electrónico y se encenderá un LED adicional.El código fuente en BASCOM está disponible

Oscilador triangular con convertidor de senoJac Hettema (Holanda)

Este diseño surgió como sustitución par-cial del famoso integrado 8038 que ya no se fabrica, y por lo tanto es casi imposible de adquirir.

Se tuvo que renovar un diseño existente del control de un sensor LVDT (Linear Vari-able Differential Transformer) donde se empleaba el 8038 como oscilador regu-lable de seno. La sustitución del 8038 por un 2206 podía haber sido la solución, pero ese integrado no era apto para la tensión de alimentación utilizada. Por eso se buscó un sustituto que constara de componentes normales y por lo tanto estuvieran siempre disponibles.

En este circuito se genera una tensión trian-gular con dos operacionales del TL074 (IC1.A y B), cuya frecuencia se puede regular amplia-mente con la ayuda de P1. A continuación va el amplificador diferencial con T1 y T2, el cual está dimensionado de tal forma que convierte la tensión triangular a una tensión seno bas-tante aproximada. La distorsión mínima se configura con P2.

fer. P3 se puede ajustar de forma que desapa-rezca el offset de la salida.

(110431)

A través del tercer operacional IC1.C, confi-gurado como restador, se obtiene la tensión seno, para que el IC1.D sirva después de buf-

IC1.D13

1214

IC1.B

6

5

7

IC1.A

2

3

1 IC1.C

9

10

8R1

1k

R2

82k

R6

4k7

R9

4k7

R4

8k2

R5

330R

R7

2k2

R10

2k2

R8

27k

R11

10k

R15

10k

R16

10k

R3

22k

R1210k

R13100k

R14

330R

P1

500k

P2

5k

P3100k

T1BC238BP

T2

C1

100n

+15V

+15V

-15V

-15V

2x

K1

IC111

4

+15V

-15V

IC1 = TL074110431 - 11

Igualando HEXFETsAlfred Rosenkränzer (Alemania)

En las etapas de salida de audio con muchos HEXFETs, rápidamente salta a la vista que las pérdidas en potencia no se distribuyen uniformemente entre cada uno de los tran-

nes (véase extracto del circuito), ya que no dan buen resultado.Una de las posibilidades a la hora de solu-cionar el problema es “elegir” la tensión de puerta-fuente de los transistores utilizados lo mejor posible. Para el montaje de proto-

sistores. Esto radica en la fuerte tensión de puerta-fuente (“gate-source”), que puede variar, por ejemplo en el IRFP240 (así como en el IRFP9240) entre 2 y 4 V. Hay que evitar a toda costa las resistencias en el área de los 0,22 Ω en los circuitos amplificadores comu-

Personal Download for I © Elektor

63414

85elektor 7/8-2011

tipos o la producción de una serie reducida esto significa que a parte de los componen-tes de prueba adicionales, tendremos que encargar más transistores de los que real-mente necesitamos.

La idea de circuito aquí presentado se desa-rrolla gracias a potenciómetros auxiliares, que compensan las diferencias en las ten-siones de puerta de los pares de transisto-res, como se ha comprobado en la simu-

lación con Simetrix. El segundo extracto del circuito muestra las modificaciones pertinentes.

(110168)

R1

120R

R7

120R

R16

15k

R24

100R

R25

100R

R6

820R

R27

100R

R26

100R

R912

0R

R12

0R22

R13

0R22

R10330R

R11330R

T7

IRFP240

T8

IRFP9240

R17

2R7

R18

8R

T6

MJE250

T4 T1

BF822

C7

47p

C8

47p

C6

10n

D3

1N4148

P2200R

P3200R

R21

0R22

R23330R

T10

IRFP240

P1200R

R2

0R22

R3330R

T2

IRFP9240

C9

100n

52%

48%

50%

110168 - 12

2x

R1

120R

R7

120R

R16

15k

R6

1k

R9

120R

R12

0R22

R13

0R22

R10330R

R11330R

T7

IRFP240

T8

IRFP9240

R172R

7R18

8R

T6

MJE250

T4 T1

BF822

C7

47p

C8

47p

C6

10n

D3

1N4148

P2500R

R21

0R22

R23330R

T10

IRFP240

R2

0R22

R3330R

T2

IRFP9240

C9

100n

110168 - 11

70%

2x

Receptor de radio de onda largaBurkhard Kainka (Alemania)

Desde los orígenes de la radio-transmisión, lógicamente siempre se ha trabajado con emi-sores de radio, y de ahí el nombre. El espectro emitido era relativamente ancho y se exten-día en el rango de la onda larga. Por supuesto, el receptor correspondiente tenía también un ancho de banda muy grande. Generalmente se trataba de detectores de recepción sin amplificación adicional.Hoy en día, al pulsar un interruptor de la luz salta una chispa y podemos escuchar el chas-quido de la onda de radio, lo cual ocurre en un ancho de banda que va desde la onda larga a la alta frecuencia. Sucede lo mismo con los contactos en cables, los arcos eléctricos de alta tensión, los transformadores estropea-

podemos solucionar esto con un receptor adecuado. Nuestros intentos con radios nor-

dos, así como en motores o contactos mal apantallados de toda índole. Sin embargo,

BT16V...9V

2

35

IC16

4

LM386C5

100u

LS1

C4

100u

C2

100u

25V

25V25V

C1

100n

C3

100n

R210k

R310k

R1

10k

L1

470uH

T1BC557

ANT1

110197 - 11

Personal Download for I © Elektor

63414

86 7/8-2011 elektor

males no han tenido éxito, dado su reducido ancho de banda y omiten parcialmente los pulsos de ruido. Tras varias consideraciones, la mejor solución es un receptor de audio de banda ancha.Los requerimientos son totalmente distin-tos que los de un receptor de radio normal: dicho receptor ha de tener el mayor ancho de banda posible en el rango de la onda larga.

cional con una resistencia de 10 kΩ añadida a posteriori. Mediante el osciloscopio pode-mos ver si los impulsos en el emisor del BC557 están acotados. La amplitud es suficiente para controlar el amplificador de salida dentro de unos márgenes. De un pulso de entrada de 1 μs obtenemos más o menos un impulso BF de 1 ms para el altavoz.

(110197)

Otra consideración más: Ya que los paquetes de onda de una radio individual son extrema-damente cortos, el receptor ha de integrar-los en un pulso más largo, cuyo espectro esté bien situado en el rango audible.Para el circuito: la etapa de audio en el cir-cuito del colector es un resonador sin amor-tiguar. Para que no se produzcan resonancias es necesario hacer un amortiguamiento adi-

El sensor de movimiento más baratoAntonio Gendrau (España)

El sensor RS-455-3671, usado en el proyecto de Luz Trasera Automática de Bicicleta, publi-cado en la edición de julio/agosto de 2010, puede ser sustituido por un sensor de movi-miento que no cuesta nada, en lugar de uno que cuesta unos 5 €, aproximadamente.El sustituto es un dispositivo casero, cons-truido con componentes que podemos encontrar fácilmente en el taller de cualquier aficionado a la electrónica. Efectivamente, el circuito trabaja como una resistencia varia-ble, dependiente de la fuerza de aceleración a la que se somete el dispositivo. Un proto-tipo presentó una resistencia de 200 kΩ, sin movimiento, y de 190 kΩ bajando, aproxima-damente, 1cm.El montaje es fácil. Cortamos una pieza de tubo de cobre de, aproximadamente, 10 mm. Tomamos una pieza de espuma con-ductora, del tipo usado para proteger los cir-cuitos integrados. Cortamos una pieza rec-tangular de 10 x 50 mm. La enrollamos fir-memente hasta que pueda ser encajada en el cilindro de cobre. Seguidamente, insertamos un hilo conductor por el centro del cilindro, lo doblamos y (opcionalmente) añadimos una

rior y el cilindro. Por todo ello, es importante asegurarse de que la vibración de cilindro no se ve limitada, en ningún caso, por el hilo conectado o la placa de circuito impreso.El circuito comparador mostrado aquí es capaz de detectar el cambio de resistencia del sensor de espuma/hilo/cobre propuesto, lo que permite detectar el movimiento de un vehículo para una alarma u otros propósitos.

(100588)

hoja protectora de plástico por cada lado. Este es el primer contacto. Finalmente, soldamos un hilo delgado al cilindro de cobre. Este es el segundo contacto.La resistencia de la espuma depende de la presión. Por consiguiente, cuando el disposi-tivo se mueve debido a una fuerza externa, la inercia del cilindro provoca una variación de presión en la espuma, causando un pequeño cambio de resistencia entre el conductor inte-

LM311

IC1

3

27

8

4

6

5

1

R2

560k

R3

160R

R2

2M2

R1

220k

R5

470R

D1

C1

10n

VCC

100588 - 11

SENS

OR

Números de puertos COM predeterminadosMichael Gaus (Alemania)

El famoso chip conversor USB-UART FT232R de F TDI se utiliza a menudo en muchos proyectos que necesitan un puerto USB. Si queremos utilizar varias tarjetas con este componente en el mismo PC, sucede algo realmente molesto. El ordenador asigna al chip una numeración (la identificación

El citado conversor USB, aparte de la iden-tificación del fabricante (VID) y la de pro-ducto (PID), viene de fábrica con un número de serie grabado en la EEPROM on-chip [1]. Cuando Windows reconoce un nuevo VID, PID o número de serie, inicia la famosa rutina de “nuevo hardware encontrado” y le asigna automáticamente un número nuevo de puerto COM.

del USB) distinta de la de los puertos COM. Entonces, en el sof t ware de PC tendre -mos que configurar cada vez el número de puerto que utilizaremos para cada tarjeta. Sería mucho más práctico si a cada FT232R en el mismo puerto USB de un PC se le adju-dicase siempre el mismo número de puerto COM. Afortunadamente, ¡podemos ponerle remedio! 

Personal Download for I © Elektor

63414

87elektor 7/8-2011

Mediante la práctica herramienta “FT_Prog” (que puede descargarse gratis en la página del fabricante [2]) el FT232R puede reconfi-gurarse de forma fácil, de modo que no se utilice el número de serie en la numeración [3]. Así es como funciona:

1. Conectamos el FT232R al puerto USB. Como buen FT232R de fábrica, el número de serie estará “enabled”, se le asignará el siguiente número de puerto COM libre.

2. Iniciamos la herramienta “FT_PROG”. Atención: ni el programa terminal, ni nin-guna otra aplicación del usuario debe abrir el puerto COM virtual que utilice cada FT232R.

3. En “Devices”, hacemos clic en “Scan and Parse”.

4. Hacemos clic en “USB String Descriptors” y deseleccionamos la casilla de “Serial Number Enabled”.

5. Ahora, en “File”, clic en “Save As Template” (guardar la configuración).

6. En “Devices”, hacemos clic en “Program”, y luego otra vez en “Program”.

Después, tras programar satisfactoriamente el dispositivo, hacemos clic en “Cycle Ports”,

para que el FT232R del USB pueda ser nume-rado de nuevo. Ahora, al FT232R se le asigna el número de puerto COM correspondiente al puerto USB físico.

Un inconveniente de este método es que a cada FT232R recién salido de la fábrica, pri-mero tenemos que adjudicarle un puerto COM. Si desea conectar muchos FT232R en

el mismo PC, podemos hacer que todos los puertos COM libres funcionen como uno sólo.Esto puede saltarse creando una nueva entrada en el registro de Windows (lo cual no es demasiado complejo).En el registro HKEY_LOCAL_MACHINE\SYS-TEM\CurrentControlSet\Control\UsbFlags\ hem os de cr ear una entrada del t ip o REG_BINARY de nombre “IgnoreHWSer-Num04036001” y fijar su valor a 01.Ahora, a cada FT232Rs de fábrica siempre se le asignará el mismo número de puerto COM (virtual) según el puerto USB físico.

(110207)

[1] www.ftdichip.com/Documents/AppNotes/AN_123_How%20COM%20Ports_Are%20Allocated%20on%20Driver_Installation.pdf

[2] www.ftdichip.com/Support/Utilities.htm

[3] www.ftdichip.com/Support/Documents/AppNotes/AN_124_User_Guide_For_FT_PROG.pdf

Oscilador en anilloBurkhard Kainka (Alemania)

El oscilador en anillo consiste en varias etapas amplificadoras inver-soras de transistores, una tras otra, en la que la salida de la última etapa se conecta con la entrada de la primera.Pueden util izar se tres, cinco, siete o nueve etapas. En realidad sólo es necesario que se trate de un númer o impar. L a ven -taja de este circuito radica en que no necesita condensador. Por ello, los osciladores de este tipo suelen incluirse en circuitos integrados, como por ejemplo microcontroladores.En principio se trata de un ampli-ficador realimentado que oscila debido a la alta ganancia total. En el circuito mostrado en la figura 1 se utilizan cinco etapas. Con el fin de no influir en el anillo se utiliza una etapa de buffer para desaco-

plar la señal del oscilador. Todas las resistencias del circuito tienen un valor de 2k2 y todos los transisto-res son del tipo BC548A. La frecuencia generada por el osci-lador ronda aproximadamente 1 MHz y depende en cierta medida de la tensión de alimentación (ver la figura 2). Se alcanza el máximo de 1650 kHz con alimentación a 3 V.El oscilador en anillo puede consi-derarse como un oscilador secuen-cial en el amplio sentido de la pala-bra. El tiempo de transferencia de la señal a través de las cinco eta-pas es de la mitad del periodo de oscilación, o sea, a 1,65 MHz son 300 ns. Por lo tanto, el paso por cada etapa tiene una duración de 60 ns. Con tensiones de funciona-miento más altas el retardo entre etapas es algo mayor, ya que los transistores entran en saturación de forma más brusca.

(110192)

T1

BC548A

R1

2k2

T2

BC548A

R2

2k2

T3

BC548A

R3

2k2

T4

BC548A

R4

2k2

T5

BC548A

R5

2k2

T6

BC548A

R7

2k2

R6

2k2

+Ub

110192 - 11

18001600140012001000

800600400200

00 2 4

110192 - 12

6Ub [V]

f [kH

z]

1

2

Personal Download for I © Elektor

63414

88 7/8-2011 elektor

Laminado antisoldadura caseroUwe Hofmann (Alemania)

El uso de lacado antisoldadura o de un lami-nado no sólo dota a una tarjeta de una apa-riencia más profesional, sino también evita la aparición de puentes indeseados. Este artí-culo muestra cómo aplicar con medios sim-ples una capa de este tipo también a nues-tros propios circuitos. Al contrario que ocu-rre con un laminador, que puede alcanzar temperaturas de unos 130°C, en este caso no hace falta nada excepto el material que uti-lizamos normalmente para fabricar tarjetas. Un sólo requisito: necesitamos el correspon-diente diseño para insoladora. Esto ya lo tene-mos si diseñamos la tarjeta en un programa de diseño o nos han suministrado los archivos con los esquemas.

En el diseño impreso para la insoladora sólo vemos los puntos de soldadura que tendre-mos posteriormente. Si se trata de una tarjeta de doble cara, tendremos ambas.

Tras la fase de ataque químico, retiramos los restos del baño fotosensible de la tarjeta y la limpiamos. Aparte, lo mejor es utilizar un limpiador antigrasa doméstico y un estropajo. ¡La capa de cobre no debe tocarse más con los dedos!

La tarjeta limpia y seca se introduce en el baño que hemos preparado anteriormente. Tras 3 minutos podemos dar por terminado el baño, así que lavamos y secamos la tarjeta una vez más.

Eliminamos los posibles restos con el lamina-dor precalentado, de dos a tres veces. Esto es importante para evitar la separación posterior del laminado.

Ahora cor tamos un trozo de lámina del tamaño de la tarjeta y quitamos el folio protector de la cara de abajo. Ya que esto requiere de bastante maña, podemos ayu-darnos con dos trocitos de cinta adhesiva, y así tener más superficie para sujetar el folio. ¡No se preocupe, automáticamente se despe-gará sólo el folio de abajo!

Después presionamos la lámina en la parte superior de la tarjeta, separando el resto de la tarjeta de ésta mediante un trozo de papel, de modo que no se pegue todo completamente (ver imagen). En caso contrario podrían for-marse arrugas.

Ahora, al meter la tarjeta en el laminador sujetamos el papel fuertemente, para que

se separe el laminado y la parte del circuito impreso que no va a ser cubierta (véase la imagen).

Ahora hemos de pasar la tarjeta dos o tres veces por el laminador, hasta que la lámina se haya fijado bien.

Posteriormente utilizamos la capa antisol-dadura. La colocamos lo mejor posible en la tarjeta y la fijamos con cinta adhesiva. Para la siguiente insolación, hay que considerar que debemos tenerla aproximadamente la mitad del tiempo que cuando la tenemos bajo la exposición a los rayos UV con los productos fotosensibles. Si fuera necesa-rio deberíamos hacer algunas pruebas pre-vias. Tras la insolación debemos dejar la tar-jeta en reposo durante al menos 30 minu-tos, para que el laminado se polimerice. Tras pasar este tiempo, habremos producido un circuito impreso según el mismo método uti-lizado por los fabricantes de tarjetas. Como alternativa más económica también podemos utilizar sosa cáustica de una droguería. Quí-micamente se trata de hidróxido de sodio. El baño ha de supervisarse cuidadosamente y debe estar a una temperatura de unos 35°C.

Antes del proceso hemos de retirar el folio protector superior de la lámina (aquí no es necesario el truco de la cinta adhesiva).

El laminado debería estar terminado en 2 o 3 minutos. Durante el proceso, opcionalmente podemos retirar los restos del laminado de los pads. Así aceleraremos el tratamiento y ten-dremos un mejor control al final. Una vez ter-minado el proceso, la tarjeta se limpia y seca con una toalla. Ahora mismo la capa del lami-nado estará suave y pulida. Para hacerla aún más resistente, podemos tenerla durante unos 45 minutos en exposición a rayos UV. Después la tenemos otros 45 minutos en un horno de convección. Para terminar, la corta-mos a medida y realizamos los taladros.

En los circuitos impresos de doble cara, ten-dremos que laminar, tratar y exponer a los rayos UV una sola cara. Después tocan los mis-mos pasos para la otra cara. Sólo la introduci-mos en el horno al terminar la tarjeta entera.

Como referencia de los productos químicos y materiales necesarios, el autor recomienda la tienda online de Octamex

(www.octamex.de).(110217)

Personal Download for I © Elektor

63414

89elektor 7/8-2011

Regulador LDO ajustable

Michel Defrance (Francia)

El libro 303 Circuits de Elektor (Edición en in-glés de 1988) contiene el esquema de un regu-lador de baja tensión hecho de componentes recuperados (LDO de low-dropout), discretos. El autor aportó unas modificaciones para que fuese regulable y diseñó una pequeña placa que alojaba todos los componentes SMD. So-lamente el transistor de potencia es un mode-lo «antiguo», con verdaderos terminales.Con relación al circuito de origen, se han apor-tado las siguientes modificaciones:

•   El diodo zéner de 4V7 ha sido reemplazado por un regulador puente (“shunt”) TL431, conocido por su estabilidad y programable por un potenciómetro de 5 kΩ, lo que per-mite así una tensión de salida de 5 a 16 V.

•   La resistencia de 390 Ω ha sido reemplaza-da por un espejo de corriente, compuesto por los transistores T5 y T6, y cuya regula-ción es asegurada por R3 y TL431. La co-rriente de cátodo de éste último, para ob-tener 5 V a la salida del regulador, es de 1,7 mA, suficiente para una buena regulación. La documentación técnica prevé 1 mA para una regulación óptima.

•   La etapa de salida está compuesta de dos transistores PNP, T2 y T1, montados en configuración Darlington. La polarización de la base de T1 está asegurada por R9, con lo que tenemos una mejor regulación de salida cuando la corriente de salida aumen-ta sobre una carga baja.

•   D1 es un MELF4148 en lugar de un AA119.•   Para asegurar el arranque de la tensión de 

alimentación, R1 (100 kΩ) del circuito de origen, pasa a valer 3,9 kΩ (R8).

El procedimiento de ajuste es simple: retira-mos el puente JP2, a continuación, midiendo con un multímetro la tensión en el terminal 2 de JP2, ajustamos la tensión de salida con P1 al valor deseado. Acabado el ajuste: volvemos a poner el puente sobre JP2.Hay que señalar que la tensión de entrada debe ser superior en 1 V a la tensión de salida.Los transistores utilizados no son críticos, el válido cualquier modelo. Y, evidentemente, es posible realizar el regulador con componen-tes no SMD, tales como los BC547, para los NPN, y los BC557, para los PNP.La tabla muestra algunas medidas sobre un ajuste de una tensión de salida de 7,39 V (es-cogida para controlar dos LED blancos en se-

rie). VINO = 9 V, VINO min = 8,20 V.(110288)

Enlaces en Internet[1] www.elektor.es/110288

•   Se integra un filtrado de salida sobre la placa (C1 y C4).

El circuito impreso [1] es una placa de doble cara. El BD136 está colocado en la cara opuesta de los otros componentes, es decir, so-bre la cara cobre. Con un aislante, es posible añadir un pequeño radiador para asegurar el enfriamiento del transistor de poten-cia. Sin embargo, si el regulador es utilizado en condiciones de baja ten-sión, no es útil equipar este componente con un radiador. La placa puede

ser colocada en el lugar de un regulador clásico. JP1 ofrece un conexionado compatible.

T1BD136

T2ZUMT720

T3 T4

ZUMT619

T5

ZUMT619 T6

R91k5

R83k9

R34k7

R7

100R

R6

2k2

R5

2k2

R12k

2

R2

1kC3

100p

C4

100n

C5

100n

C1

10u25V

C2

1u10V

D1

1N4148

P15k

1

2

3

JP1

JP2

1

2

TL431D2

1

3

8

2 76

2x

2x

C_S

C_R

110288 - 11

Iref =Vbe T6/R7 Vref

2V5

2V5 < Vin < 8V

5V < Vout < 16VVout = Vin (1+R1/R5)

Carga [Ω] VOUT [V] Error [V] I [mA]680 7,39 0 10

390 7,37 0,02 18,8

220 7,37 0,02 33,5

100 7,35 0,04 73,5

33 7,31 0,08 220

10 7,20 0,19 720

Personal Download for I © Elektor

63414

90 7/8-2011 elektor

El R8C/13 sabe hablar CANHermann Nieder (Alemania)

La pequeña tarjeta de procesador del gran proyecto de Elektor-R8C ahora goza de cierta popularidad [1][2]. Y no injustificadamente, ya que resulta muy simple de utilizar en nues-tras propias aplicaciones con controladores. Y aquí mostramos... ¡que también puede con el CAN!En la Elektor de Diciembre de 2005 [1] se presentó un “sistema minimalista”, con el cual programar el controlador a través de un puerto RS232. Aquí se utiliza ese mismo cir-cuito mínimo, y para su programación (gra-cias al bootloader integrado en la R8C) y comunicación con el PC se utiliza RS232. Tam-bién funciona con un cable USB/TTL, gracias al cual podemos ahorrarnos los transistores T1 y T2.Para la comunicación CAN basta con la tarjeta de puerto CAN de la Elektor 11/1999 [3]. Para el funcionamiento utiliza un controlador CAN SJA1000 de Philips, que incorpora un trans-ceptor CAN PCA82C250.El conector de 2x8 pines mostrado en el esquema se conecta directamente en K3 del bus del puerto CAN. Con algo de habili-dad y un cable plano (¡corto!) también puede hacerse un adaptador para el “Puerto de bus CAN para PC” (Elektor 6/2000), equipado con un conector SUB-D de 25 pines [4].El controlador CAN se sirve de 32 registros [5]. Para escribir desde la R8C un registro del SJA1000, primero necesitamos la direc-ción del puerto P1. Después la ALU se confi-gura para hacerse cargo de este byte. Final-mente el byte de datos se entrega mediante el puerto P1. Finalmente configuramos /WR de 1 a 0, para transferir el byte de datos al registro seleccionado previamente.Al leer un registro debe transferirse primero la dirección al controlador CAN. Ahora el puerto P1 del R8C estará preparado para la lectura. Activando y desactivando /RD se lee el byte de la dirección de registro deseada.

El autor ha codificado un programa para PC en VisualBasic 5, mediante el cual pueden reci-birse y enviarse instrucciones CAN. El pro-grama utiliza la librería de funciones RSCOM.DLL de Burkhard Kainka, y puede descar-garse en esta página [6]. El firmware para el controlador están basados en parte en ruti-nas de para la R8C ya publicadas en Elektor. El software de PC y el firmware para la controla-dora pueden descargarse gratuitamente de la página del proyecto [7].La comunicación mediante RS232 entre PC y R8C se desarrolla a 9600 baudios. En la comu-nicación por CAN podemos elegir la tasa de

nes para cada modo de reset del SJA1000. Mediante otro botón ordenamos al controla-dor CAN que inicie el envío. Otro botón más sirve para vaciar el buffer de recepción.

El autor ya ha realizado multitud de expe-rimentos por su cuenta. Si disponemos de dos tarjetas R8C/13 y dos puertos de bus CAN podemos llamar al programa del PC dos veces (o ejecutarlo en dos PCs distintos), de modo que podamos “conversar” a través del CAN, lo cual se visualiza perfectamente en el software.

(110302)

[1] www.elektor.es/050179-2

[2] www.elektor.es/r8c

[3] www.elektor.es/990066-2

[4] www.elektor.es/000039

[5] www.nxp.com/documents/data_sheet/SJA1000.pdf

[6] www.b-kainka.de/pcmessfaq.htm

[7] www.elektor.es/110302

transferencia, entre 20 kbit/s o 50 kbit/s. Esto se fija durante la inicialización, si pulsa-mos el botón correspondiente en el cuadro de VisualBasic.En la inicialización se lista el contenido de los registros 0 a 31 del SJA1000.Tras recibir un paquete de datos, las etique-tas muestran aparte del listado, los registros 20 y 21 (los primeros dos bytes del buffer de recepción). Aparte, también se muestra aquí el identificador del paquete, así como el bit de RTR, que distingue entre las “CAN-Remote-Frames” de las “Frames” de datos.El identificador del emisor puede cambiarse introduciendo un valor en el cuadro de texto mostrado. Además, podemos activar o des-activar el bit de RTR marcando una casi-lla. Estas entradas se aceptarán al pulsar el botón “identifier”. Adicionalmente se mues-tra el contenido de los registros 10 y 11 (los dos primeros bytes del buffer de envío), así como el estado del bit RTR con las etiquetas. Al pulsar el botón para refrescar la represen-tación del contenido de los registros, estare-mos seguros de que se han aceptado los cam-bios deseados.De igual forma también puede cambiarse el contenido de los registros directamente. Por añadidura, están disponibles los dos boto-

RXD0/P1.5TXD0/P1.4

R8C/13

MOD1BC547

BC557RESETCNVSSRXD1

MODE

TXD1

P1.7P1.6

P1.3P1.2P1.1P1.0P4.5 P3.3

P3.2

P3.1

P3.0

P0.7P0.6P0.5P0.4

P0.3P0.2P0.1

XOUT

IVCC

AVSS

AVCC

VSS

VCCXIN

10111213141516 17

18

20

22

242526272829303132

23

21

19

1

3

5

789

2

4

6

K1

10111213141516

123456789

+5V +5V

T1

R2

10k

R3

4k7

R127k

R4100k

T2

R5

10k

S2

MODE

+5V

P1.7P1.6P1.5P1.4P1.3P1.2P1.1P1.0

TXD

GND

RXD

PC

WRRDCSALE

R6

56k

C1

1u

+5V

RST

110302 - 11

S1

RESET

Personal Download for I © Elektor

63414

91elektor 7/8-2011

Preamplificador, mezclador y controlador de línea de guitarra eléctricaPetre Tzvetanov Petrov (Bulgaria)

Según su diseño, una guitarra eléctrica puede tener entre uno y seis elementos de pastilla (“pickup”). Las guitarras (acústicas) clásicas también podrían beneficiarse de una o varias pastillas “actualizadas”. Cada pastilla tiene un sonido específico según el tipo de sensor y la posición en el instrumento.Cuando una guitarra tiene más de una pastilla és-tas pueden ser conectadas juntas, con o sin com-ponentes adicionales. Sin embargo, es preferible que la señal de cada pastilla sea almacenada en un “buffer” de forma individual. Estas señales “al-macenadas” y, posiblemente, amplificadas, de-berían ser ajustadas en nivel con el fin de producir el efecto deseable (o ‘sonido’). Después, pueden ser mezcladas y enviadas a la siguiente etapa del equipo de procesamiento de audio.La mayoría de los guitarristas estarán de acuerdo en que los elementos de las pasti-lla no pueden trabajar con cables más largos de, aproximadamente, 2 m, sin el riesgo de una degradación significativa de la señal. Las pastillas de guitarra típicas requieren una re-sistencia de carga de unos 50 kΩ y, a veces, valores superiores a 200 kΩ, por lo que, a me-nudo, se inserta un preamplificador/buffer, cuya función principal no es la de aumentar la ganancia, sino la de permitir el conexionado de cables de entre 3 y 10 m, lo que representa una capacidad de entre 90 y 180 pF/m.En el circuito mostrado aquí, cada pastilla tie-ne su propio buffer de entrada, con un tran-sistor configurado como seguidor de emisor. Cada etapa tiene una ganancia ligeramente inferior a la unidad. Esto no es un problema, ya que la mayor parte de las pastillas proporcio-nan niveles de señal importantes, típicamen-te, por encima de los 200 mVpp.La resistencia de entrada de la primera etapa su-pera los 200 kΩ, valor que es adecuado para la mayoría de las pastillas inductivas del mercado. Si necesitamos una resistencia de entrada de ma-yor valor, las resistencias de 1 MΩ, marcadas con asteriscos, pueden ser omitidas y las de 720 kΩ pueden ser aumentadas hasta los 1,2 – 1,5 MΩ. Esto elevará la resistencia de entrada de la etapa a, aproximadamente, 500 kΩ. Para asegurar a la salida de la primera etapa una señal no deforma-da lo más alta posible, la tensión colector-emisor (VCE) de T1-T4 debería ser, aproximadamente, la mitad de la tensión de alimentación.Es importante que el primer transistor del bu-ffer tenga un ruido bajo y una ganancia DC ele-

salidas, K6 y K7, son capaces de trabajar con cargas de 600 Ω, incluyendo auriculares de alta impedancia.El circuito es simple de probar y de ajustar:1. comprobar que en T1-T4 su VCE es, aproxi-

madamente, la mitad de la tensión de ali-mentación;

2. sin señal de entrada, ajustamos el poten-ciómetro P7 a, aproximadamente, la mitad de la tensión de alimentación, en la salida de IC1. Si no se requiere un ajuste preciso del “offset” de salida del amplificador ope-racional, P7 puede ser omitido y R17 se co-necta a la unión de R18 y R19.

La tensión de alimentación debe estar entre 12 V y 24 V. Es posible hacer trabajar al circui-to con una tensión de 9 V, pero esta tensión de alimentación más baja limitará la amplitud de salida y la ganancia. El consumo de corriente típico, con una pila de 9 V, es de 10 mA. Dos pilas de 9 V conectadas en serie es la solución preferida.La amplitud de salida no distorsionada es de hasta 6 Vpp con una alimentación de 12 V y con cargas de 2 kΩ en las salidas. La banda de frecuencias de la unidad excede los 20 Hz - 20 kHz. Para esta aplicación se comprobó que la distorsión y el ruido eran insignificantes.

(110307)

vada. Los modelos BC549C y BC550C y los ve-nerables BC109C son totalmente adecuados para este propósito, mientras que el BC546C, el BC547C y el BC548C también pueden ser tenidos en cuenta.La señal almacenada en cada pastilla es ajusta-da con un potenciómetro y enviada al circuito suma del mezclador. El siguiente elemento activo es un amplificador operacional de au-dio del tipo NE5534 o NE5534A (IC1), que proporciona la cantidad requerida de la señal almacenada en un buffer. El 5534 (A) tiene un bajo ruido, una baja distorsión y una alta ga-nancia. Este componente puede controlar una línea de 600 Ω cuando sea necesario, pero la carga preferida está por encima de los 2 kΩ. Su amplificación es ajustable entre 3 y 10, con el potenciómetro de realimentación P5. Para valores más altos de la ganancia se alcanzan algunos límites en el nivel y distorsión de la señal de salida, algo que también puede ser un efecto secundario deseado. La amplitud máxima no distorsionada de la señal de salida depende de la tensión de alimentación. Si se necesita una ganancia más elevada, podemos incrementar el valor de P5 hasta los 470 kΩ.La salida K7 tiene un potenciómetro de con-trol de volumen (P6), que podría ser omitido si no va a ser usado o no es requerido. Ambas

K1L1

R11k

C1

100n

R2

720k

R3

1M

T1

P1

4k7

C2

2u2

R430k

K2L2

R51k

C3

100n

R6

720k

R7

1M

T2

P2

4k7

C4

2u2

R830k

K3L3

R91k

C5

100n

R10

720k

R111M

T3

P3

4k7

C6

2u2

R1230k

K4L4

R131k

C7

100n

R14

720k

R15

1M

T4

P4

4k7

C8

2u2

R1630k

NE5534(A)

IC1

2

36

7

41

5

8

P7

10k

R18

10k

R19

10k

D1

1N4148

C11

47u

R17220k

C10

220p

C9

470u

C12

680u25V

K5

K6

C13

22uR20

56k

R21600R

K7

C14

22uR22

56k

R23600R

P6

4k7

P5

220k

R2491k

T1...T4 = BC549C, BC550C110307 - 11

*

*

*

*

Personal Download for I © Elektor

63414

92 7/8-2011 elektor

Algunos relés estáticos de CCGeorges Treels (Francia)

Los buenos y viejos relés electromecáni-cos son relativamente costosos en cuanto la intensidad con la que hay que trabajar es importante y que los retardos de conmuta-ción deben ser cortos. Una solución es la de pasar a los relés estáticos. En modo CC, los MOSFET ofrecen una solución muy intere-sante y los diferentes fabricantes proponen hoy día componentes, por menos de cinco euros, con prestaciones asombrosas, tanto en lo referente a la corriente como a la baja RDS(on). Su montaje es relativamente sencillo, tanto en modo monoestable como en bies-table, entonces, ¿por qué privarse de ellos?Los siguientes esquemas nos van a permitir conmutaciones de 10 a 60 A (incluso más, si se adoptan configuraciones con MOSFET en paralelo), con tiempos de conmutación muy cortos. Se presentan varias configuraciones, tanto monoestables como biestables, capa-ces de conmutar una carga que, por un lado bien está conectada a masa (high side swit-ching) o bien a positivo (low side switching). Las configuraciones monoestables ofrecen además un aislamiento galvánico y pueden ser controladas por señales de 5 a 24 V, CC o CA. Los relés estáticos biestables son contro-lados por un simple botón-pulsador y un poco de circuitería lógica.C o m e n c e m o s c o n l o s r e l é s e s t á t i c o s monoestables.El puente de Graetz B1 autoriza cualquier polaridad de entrada, en caso de una señal de control CC y rectifica la señal en caso de una señal de control CA. El conjunto de R1, R2, D1 limita la corriente en el LED del fotoaco-plador IC1. La base del fototransistor, incluido en IC1, está conectada a masa a través de R3, mientras que el emisor está conectado direc-tamente a masa.En el caso de una carga conectada a masa la “rejilla” de T1, un MOSFET de canal P, está con-trolada directamente por el colector de IC1. Si la carga está conectada a positivo, la rejilla de T1, un MOSFET canal N esta vez, está contro-lada por T2, que invierte la salida de IC1. C2, C3, D2, y D3 protegen al MOSFET en el caso de cargas que no sean resistivas puras. Las dos configuraciones biestables utilizan las mismas etapas de potencia que los monoes-tables con MOSFET N para las cargas conecta-das a positivo y un MOSFET P para las cargas conectadas a masa.IC1.A está cableado como báscula simple: con el cursor de P1 en límite de conmutación, la salida de IC1.A cambiará con cada pulsación del pulsador S1. R1 y C1 evitan las oscilaciones

4N28IC1

5

4

1

2 6

R2220R

R1100R

C1

47u

D1

5V0

R3

100k

R4

1k

RL

T1

* C2

470u35V 25V

D2

P600D

D3

P600D

C3

100n

B1DF045V...24V

+12V

/

100938 - 11

4N28IC1

5

4

1

2 6

R2220R

R1100R

C1

47u

D1

5V0

R3

100k

R4

1k

C2

470u35V 25V

D2

P600D

D3

P600D

C3

100nB1DF045V...24V

+12V

/

100938 - 12

T1

*

R6

1k

T2

2N2222

R5

1k

RL

T1

*

C2

470u

D2

P600D

D1

P600D

C3

100n

+12V

89

10

IC1.C

&

56

4

IC1.B

&

1213

11

IC1.D

&

12

3

IC1.A

&

P1

10kS1

C1

2u2

25V

16V

R1

100k

14

7

100938 - 13

IC1 = 4093

RL

C2

470u

D2

P600D

D1

P600D

C3

100n

+12V

T1

*

56

4

IC1.B

&

89

10

IC1.C

&

1213

11

IC1.D

&

12

3

IC1.A

&

P1

10kS1

C1

2u2

R1

25V

16V

100k

14

7

IC1 = 4093

100938 - 14

RL

Personal Download for I © Elektor

63414

93elektor 7/8-2011

rápidas que aparecen al pulsar S1. Las puer-tas B, C y D de IC1 controlan directamente la rejilla del MOSFET P en el caso de una carga conectada a la masa. IC1.B invierte la salida de IC1.A cuando hay que controlar un MOSFET N (carga conectada a positivo).En ambas configuraciones, cuando se da alimentación, el relé quedará inac tivo (seguridad).

En lo referente a los MOSFET, la tabla muestra algunas referencias posibles. La lista está lejos de ser exhaustiva y regularmente aparecen nuevos modelos en el mercado. Es prioritaria

una baja RDS(on) (calentamiento) y una buena característica dv/dt, en caso de carga «sucia». Atención con las VDS. Incluso si la mayoría de estos transistores soportan una tensión de 60 V, éste no es el caso de ni de los fotoacoplado-res, ni de los transistores bipolares utilizados.Si diseñamos una placa para este tipo de

relés, deberemos poner cuidado con las corrientes transitorias elevadas que pueden circular por las pistas de la placa. Por ejem-plo, por tres SUP75P03-07 cableados en para-lelo pueden «pasar» ¡más de 200 A! Así pues, deberemos tener en mente que una pista de una placa, con una capa de cobre de un espe-sor de 35 μm (es decir, espesor estándar) tiene una resistencia de 48 x 10-5 x L / l Ω, con L (longitud) y l (anchura) a mm.

(100938)

Enlaces en internet[1] www.elektor.es/100938

Corriente MOSFET N MOSFET P

10 A IRFZ24 IRF9540

30 A IRFZ44 IRF5210

60 A IRF2804 SUP75P03-07

Fuente de alimentación ininterrumpida para el router

Jan Lichtenbelt y Anne Offereins(NL)

Puede ser interesante que en caso de caída de la red eléctrica, el router del teléfono/Inter-net siga funcionando un tiempo más, por ejemplo, para el sistema de alarma. En ese momento una fuente de alimentación inin-terrumpida tiene que relevar a la fuente del

momento que desaparece la tensión de la red eléctrica.El circuito consta de 4 partes: el circuito de la fuente de alimentación ininterrumpida con una parte de detección que vigila si el adap-tador de red provee la tensión para el router, el circuito de la batería con un circuito de vigi-

router. La versión aquí descrita consta de una batería de plomo de 12 V en combinación con un convertidor que puede suministrar una tensión desde 15 a 30 V. También lleva incor-porado una protección que evita que la bate-ría se descargue demasiado. La fuente de ali-mentación ininterrumpida se conecta en el

T1

IRF3205

R12

G2

G1

1M

R11

100k

R1

47k

R2

10k

R3

100k

R4

100k

R7

100k

R8

100k

R9

10k

R510M

R5A10M

R610k

R1010M

D7

18V

D4

5V6

IC2

555DIS

THR

OUT

TR

CV

2

7

6

4

R

3

5

8

1

D6

1N4148

C6

1n

D5

1N4148

T2

BC547B

C5

220p

F1

4A

2

3

IC1.A

6

57 IC1.B

C1

100n

P2

100k

P1

100k

C3

47u

C4

220u25V 25V

C2

100n

D3 D1

D2

1

8

4

IC1 = LM393

S1

START

S2

STOP

110071 - 11

K6

K7

K5BT1

12V6

K2

K4

K3

K1

3x1N400XVoltage Converter

Battery Charger

Router

13V8

V+ = Vpower supply - 1V2

Power Supply

5V6

5V6

> 11V8

Personal Download for I © Elektor

63414

94 7/8-2011 elektor

lancia que se encarga de que la tensión de la batería no caiga por debajo de 11,8 V, un inte-rruptor FET entre la batería y el convertidor de tensión y un duplicador de tensión (en el marco de líneas de puntos).Partimos primero de la situación de que no existe el doblador de tensión con tensiones de router superiores a 20 V. Las salidas 1 y 7 de los comparadores IC1.A y B están interco-nectados directamente con la puerta del FET (G1 interconectado con G2).La tensión sobre K1 se encarga normalmente de la tensión del router. El router está conec-tado a K3. En esta situación la tensión en el terminal 2 del comparador de tensión IC1.A tiene que ser mayor de 5,6 V. Entonces la salida (terminal 1) se encuentra a nivel bajo y el FET no conduce. Si desaparece la tensión externa sobre K1, la tensión en el terminal 2 del IC1.A baja y el terminal 1 cambia a nivel alto, de modo que el FET empieza a condu-cir. Entonces, la batería y el convertidor de tensión se encargan de alimentar el router. La batería se descargará lentamente. Para evitar que la tensión de la batería caiga por debajo de 11,8 V, la salida del segundo com-parador (terminal 7) cambia a nivel bajo en el momento que la tensión cae demasiado y

ayuda del famoso temporizador 555 (versión CMOS). La frecuencia del oscilador (IC2) es de unos 40 kHz. Esta tensión alterna se suma con C6, D5 y D6 a la tensión de alimentación conmutada que provee T2. Los comparadores conmutan este último y el reset del tempori-zador al mismo tiempo. Un diodo zener de 18 V (D7) protege la unión puerta/fuente del FET contra tensiones demasiado altas.Ten cuidado de no sobrepasar la tensión de alimentación máxima permitida del 555. Se pueden adquirir versiones de 16 y 18 V de este integrado.El convertidor de tensión utilizado es una fuente de alimentación de un portátil uti-lizada en coches con 12 V y una tensión de salida a elegir, 0,5 A mínimo. La mayoría de los convertidores pueden suministrar esto fácil-mente. La batería de plomo tiene que estar conectada a un buen cargador, que mantenga bien una batería sin carga durante un tiempo prolongado. Para eso se han describió varias soluciones en Elektor.Ajusta P1 a unos 7 V. Conecta una fuente de alimentación de laboratorio en el lugar de la batería para ajustar P2 a un punto de conmu-tación de 11,8 V.

(110071)

el FET se desconecta. La tensión de la bate-ría puede aumentar bastante en el momento de la desconexión de la corriente. Se ha aña-dido C3 para evitar que la batería vuelva a conectarse.S1 permite arrancar sin una fuente de alimen-tación externa en K1 y el condensador elec-trolítico se encarga de que los comparadores sigan funcionando correctamente con des-conexiones breves de las tensiones de ali-mentación a través de K1 y K2. Por razones de seguridad, se han añadido el botón parada de emergencia S2 y el fusible F1. El converti-dor de tensión tiene un consumo elevado en el momento de arrancar, de modo que hay que dimensionar F1 bastante ampliamente.En caso de que la tensión del router esté por debajo de los 19 V, el nivel ‘alto’ de la tensión de salida de los comparadores es demasiado baja como para alcanzar una tensión puerta-fuente de 4,5...5 V. Después de todo, la ten-sión fuente será igual a la tensión de la batería que recargándose continuamente es de 13,8 V. La puerta tiene que tener como mínimo una tensión de 18,3...18,8 V. Esto no será posi-ble o será complicado con una tensión de rou-ter por debajo de 19 V. Para estas situaciones se ha añadido el duplicador de tensión con la

Silbato para RonjaStefan Hoffmann (Alemania)

Ronja es la perra del autor, un cruce de Bea-gle, a la que siempre hay que llamar una y otra vez. De aquí vino la idea de un silbato elec-trónico para perros, que permitiera alternar entre dos sonidos en el margen de la alta fre-cuencia. Un silbato para perros de este tipo tiene varias ventajas sobre los tradicionales:

•   Podemos llamar a nuestro compañero a distancia, sin necesidad de tener siquiera que silbar.

•   Ya que los sonidos en alta frecuencia son difícilmente audibles para las personas (mayores), no molestaremos a nadie con gritos o silbidos altos. Es sabido que los perros oyen mejor, aparte de sonidos más agudos, hasta de 40 kHz.

•   Ya que emite dos sonidos alternativos, el perro puede diferenciar ambas señales de otros silbidos que puedan aparecer.

El silbato para perros consiste en dos timers integrados 555 (o uno sólo del tipo 556), conectados a modo de multivibrador asta-ble. El primer 555 modula con su frecuencia

ciente, podemos utilizar un pequeño ampli-ficador de transistores.El circuito sólo consume energía cuando está activo, es decir, al pulsar S1. Un LED verde opcional sirve como indicador del funciona-

de aproximadamente 1,5 Hz la frecuencia del segundo, con lo cual se envían pulsos distin-tos cada 0,7 segundos de dos frecuencias dis-tintas mediante el zumbador piezoeléctrico. Si el volumen del zumbador no fuese sufi-

BT1

9V

S1

ON R1

2k2

R2

2k2

C1

220u 25V

D1

1N4148

R3

2k2

R4

2k2

C2

22n

C3

100n

S2

TEST

R6

330R

D2

R5

47k

BZ1

IC1

NE555

DIS

THR

OUT

GND

VCC

TR

CV

2

7

6

R

3

5 8 4

1

IC2

NE555

DIS

THR

OUT

GND

VCC

TR

CV

2

7

6

3

5 8

1

110152 - 11

R4

Personal Download for I © Elektor

63414

95elektor 7/8-2011

miento. Si pulsamos S2 se reduce la frecuen-cia, con lo que podremos escuchar (mejor) si funciona correctamente.Ambos 555 funcionan como multivibradores astables. En IC1, determinan la frecuencia R1, R2 y C1. El diodo D1 produce un factor de ser-vicio casi simétrico, ya que C1 se carga a tra-vés R1 y sólo se descarga a través de R2.En IC2, el condensador C2 se carga sin diodo a través de R3 y R4, y se descarga únicamente

a través de R4. La frecuencia ronda los 10 kHz (con C2 = 22 nF) o aproximadamente 1,8 kHz, cuando se pulsa S2 y se conecta en paralelo el condensador C3. También podemos utilizar una frecuencia muy alta, de unos 22 kHz (con C2 = 10 nF), que sólo puedan oír los perros (y algunos animales más). Con C2 = 15 nF es de unos 15 kHz.IC1 modula mediante R5 la frecuencia trans-mitida por IC2.

El LED verde D2 está conectado con la resis-tencia en serie R6 a la salida IC1 y parpadea por lo tanto a dicha frecuencia.El volumen generado por el zumbador pie-zoeléctrico a 10 kHz (C2 = 22 nF) es sufi-ciente para escucharlo bastante bien. Si aún así fuese muy bajo, quizá sería mejor utilizar un altavoz más efectivo (como una bocina piezoeléctrica).

(110152)

Pequeñas lámparas con elevado consumo en standby

Leo Szumylowycz (Alemania)

En una conocida distribuidora hace poco se ofrecían lámparas de mesa con un regual-dor sensor de 3 etapas, en distintos diseños. Lógicamente, su primera aplicación práctica es como lámpara de mesilla: no tiene la típica cuerdecita que tendremos que buscar en la oscuridad, o en su defecto botón alguno. Sim-plemente tocamos la estructura de la lám-para, y se hará la luz.Tras adquirir varios ejemplares, que venían equipados con bombillas de 25 W (E14), se puso a prueba su funcionamiento y resulta-ron ir bastante bien. Sin embargo, al medir el consumo en standby especificado por el fabricante o importador, nos llevamos una sorpresa: ¡nuestro medidor mostraba un con-

sumo energético con la lámpara apagada de 13 W! Desenroscando la bombilla estábamos en las mismas, seguía mostrando 13 W. Con la bombilla enroscada la medida para la primera etapa del dimmer daba 18 W, en la segunda 23 W y finalmente en la tercera, a máxima luminosidad, 28 W.¡El consumo con una luminosidad del 0% era ya el 52% de la potencia de la bombilla! Uno se pregunta cómo es posible que algo así llegue al mercado. A 20 céntimos/kWh, el consumo energético en standby excede en menos de seis meses el precio final de la lámpara, y al año, con 113,88 KWh, ¡supera los 20 €!Conclusión: merece la pena una mejora del conector a la red, por ejemplo con una regleta que permita desconectar la lámpara total-mente, al menos durante el día. En disposi-tivos sin interruptor hemos de prestar aten-ción al consumo en standby, ¡preferiblemente antes de comprarlos!

(110062)

Tester de infrarrojosGeorg Schmülling (Alemania)

¿A quién no le ha pasado esto alguna vez?: al pulsar un botón del mando a distancia, el aparato no funciona y empezamos a pre-guntarnos a qué se debe. Resulta imposible comprobar a primera vista si los LEDs infra-rrojos están funcionando o no. He aquí un pequeño dispositivo que prueba de forma rápida y sencilla el funcionamiento básico

sistor), con lo que posteriormente fluye una corriente continua constante a través de R3 y R2. El divisor de tensión formado por estas dos resistencias (bastante grandes) hace que las siguientes etapas Darlington con T1 y T2 no puedan regular la corriente que cir-cula. Con una baja tensión de alimentación de 3 V, la tensión en R2 permanece también bajo la de umbral de la etapa Darlington de

de un control remoto. El circuito consiste principalmente en una etapa amplificadora Darlington con tres transistores, siendo el primero de ellos un fototransistor de infra-rrojos (IR). Para entornos con luz natural el circuito es muy poco sensible. El transis-tor IR se controla mediante una luz infra-rroja constante (no modulada) (la luz que incide genera la corriente de base del tran-

Personal Download for I © Elektor

63414

96 7/8-2011 elektor

unos 1,2 V (2 x UBE) cuando el fototransistor está en conducción.Algo totalmente distinto ocurre cuando el fototransistor recibe una señal IR modulada en pulsos, enviada desde un LED infrarrojo en un mando a distancia: esta señal de pulsos con una frecuencia de 35 a 40 kHz pasa mediante el condensador C1 directamente y sin ate-nuación a la base de la etapa Darlington, y es amplificada en ésta, de modo que el LED se ilumina, indicando que el control remoto fun-ciona correctamente.El condensador C2 integra la señal de pulsos amplificada, con lo que el LED también emite breves parpadeos visibles según la señal infra-rroja modulada.

En especial hemos de hacer hincapié en la baja corriente de standby del circuito, inferior a 500 nA, con lo que aún sin desconectarlo, la batería garantiza una larga duración.

La elección de los componentes no es crítica. Para T3 puede utilizarse casi cualquier foto-transistor IR, y para T1 y T2 bastan todos los pequeños transistores estándar de señal NPN.Para su montaje el autor ha desarrollado una tarjeta y los archivos de datos (GBR y HPGL) pueden descargarse gratuitamente [1] de la web de Elektor.

110088

[1] www.elektor.es/110088

C1

100n

R2

220k

R3

1M

R1

10R

D2

T1

BC547T2

BC547

C2

100n

BT1

3V

110088 - 11

CR2032

T3

SFH903

Sistema de alimentación con aislamiento de alta tensiónJac Hettema (Holanda)

A veces, durante el diseño de sistemas de medición, aparecen situaciones particulares.Fue así como el autor tuvo que realizar un sis-tema para el registro de vibraciones y tensio-nes mecánicas que surgieron en un sensor de corriente funcionando a una tensión de 25 kVAC.Uno de los problemas más grandes de este proyecto resultó ser la alimentación de este sistema de medición. No se podía utilizar pilas o baterías debido a que el consumo era de unos 30 W, y el sistema tenía que poder fun-cionar durante varias horas continuas.Una idea lógica era la utilización de un trans-formador de aislamiento, pero... 25 kVAC sig-nificaba un valor pico de casi 40 kV, encima de eso había que añadir un margen de seguridad. ¡Además, todo lo que estuviese conectado a la línea de alta tensión tenía que ser a prueba de caídas de rayos! Esto significa que el aislamiento tiene que poder resistir una tensión de prueba de 150 KV, una tarea difícil para todo el material aislante.Después de una intensa búsqueda no se encontró ningún proveedor para un transfor-mador de unos 50 W, 230 V primario y unos 12 V secundario, con un aislamiento de 25 kVAC. Por eso se utilizó un sistema dinámico que, por desgracia, es más sensible al desgaste. Este sistema consta de un motor trifásico de 50 W que propulsa un generador de 30 W (un

Como se utilizó un generador trifásico, la ten-sión surgida después de la rectificación de onda completa con D1, D4...D8 fue bastante buena, también porque el número de revolu-

servomotor trifásico utilizado como genera-dor) a través de un eje aislante, que suminis-tra la energía para el registrador de datos y el resto de la electrónica.

D1

1A

D6

1A

D4

1A

D7

1A

D5

1A

D8

1A

C1

4700u16V

C2

4700u16V

C8

1u

LM317T

MOTOR30W

MOTOR

Motor Control

50W

IC3

M1

ADJ

C3

100u16V

R5

100R

R6100R

+9V

1A5

C12

2200u16V

LM566CN

IC2

MOD

GND

VCC

TWO

SWOTR

TC 45

8

1

6 3

7R3

100R

R2

100R

R1

100R

R4

100R

D2

IR

C7

1n

D3

6VC6

1n

110440 - 11

DC-DC

VOUTIC1

VIN

GND GND

1

2

3

4

GS2TX-9C10

10u16V

C13

10u16V

-9V

200mA

DC-DC

VOUTIC4

VIN

GND GND

1

2

3

4

121MR6-05-2C9

10u16V

C11

10u16V

+5V

DC-DC

VOUTIC5

VIN

GND GND

1

2

3

4

NMH1215SC5

10u16V

C4

10u16V

+30V

50mA

1AM2

Personal Download for I © Elektor

63414

97elektor 7/8-2011

ciones del generador era bastante elevado. Por eso la fuente de alimentación secunda-ria podía mantenerse bastante sencilla. IC3, un LM317T, estabiliza la tensión principal de

9 VDC. De ahí se crean, con la ayuda de peque-ños módulos DC/DC (IC1, IC4, IC5) las tensio-nes de +5 V, + 30 V y – 9 V, que son necesarias para las diferentes partes del circuito. Final-

mente, IC2 (LM566, un oscilador controlado por tensión) hace que parpadee el LED D2 si hay tensión de alimentación.

(110440)

The European reference forPCB prototypes & small series

www.eurocircuits.com

Publicidad

Luz secuencial analógica con LEDsBurkhard Kainka (Alemania)

El circuito mostrado consta de nueve etapas inversoras de transistores con un LED entre emisor y masa, en los cuales la salida de la última etapa está conectada con la entrada de la primera. El principio es similar al utilizado en el otro artículo del autor en esta edición de Elektor, el oscilador de anillo. No obstante, en este caso las etapas individuales tienen retardos adicionales, construidos en cada caso mediante una resistencia de 33 kΩ y un condensador electrolítico de 47 μF. El circuito funciona con cualquier número de etapas que queramos, en este caso (como puede verse) con nueve LEDs.Este circuito se mantiene oscilante de fo r ma m u y s ó l ida y s o r p r e n d e p o r su compor t amiento al parpadear. Si sólo utilizamos dos LEDs parece una especie de parpadeo alternativo. Esencialmente, uno siempre ve un LED encendido junto a otro apagado. Pero en una rápida secuencia la cosa cambia. Una perturbación se transmite durante toda la secuencia. En un video de Youtube puede ver cómo sucede esto:

www.youtube.com/user/bkelektronik#p/u/1/-U_vAx_EK_M

(110194)

T1

T1...T9 = BC548A

D1C1

47u

R133k

R2

1k

T2

D2C2

47u

R333k

R4

1k

T3

D3C3

47u

R533k

R6

1k

T4

D4C4

47u

R733k

R8

1k

T5

D5C5

47u

R933k

R10

1kT6

D6C6

47u

R1133k

R12

1k

T7

D7C7

47u

R1333k

R14

1k

T8

D8C8

47u

R1533k

R16

1k

T9

D9C9

47u

16V 16V 16V

16V 16V 16V

16V 16V 16V

R1733k

R18

1k

6V...24V

BT1

110194 - 11

Personal Download for I © Elektor

63414

98 7/8-2011 elektor

PROGRAMACIÓN

Stephen Bernhoeft (Rusia)

De hecho, el sistema de ficheros FAT

se ha convertido en el sistema de

ficheros leíble universalmente.

Existe un cierto número de

implementaciones de código

abierto de arquitectura neutral.

Antes de usar una solución, corresponde a los

desarrolladores comprender correctamente como

funciona actualmente el sistema de Tabla de Asignación

de Ficheros (FAT). Antes de elegir una solución, ¡lean esto!

La idea centralUna Tabla de Asignación de Ficheros (File Allocation Table o FAT) agrupa una colección de listas enlazadas. Existe una lista asociada con cada fichero y cada elemento de la lista sucesiva describe dónde encontrar la siguiente parte de un fichero y dónde encontrar el siguiente elemento de la lista.La lista es lo más sencillo que se pueda concebir. Cada elemento está formado sólo de un puntero al siguiente elemento, es decir, no existen datos explícitos en la FAT. Una vez que sabemos que no hay datos explícitos en la FAT entonces, ¿cómo puede sernos útil? La res-puesta es que el dato está implícito. Cada valor no-reservado de una cadena FAT tiene dos significados: uno es el del puntero al siguiente elemento de la lista y el otro es el puntero al fichero de datos.Una FAT se puede considerar como una matriz (ver Figura 1). Supon-gamos que partimos del valor de FAT[x] a partir del cual podemos encontrar el siguiente ele-mento. Por ejemplo, si la cadena FAT para un fichero determinado comienza en FAT[3], que contiene el valor ‘14’ (0xE), el siguiente elemento de la lista es FAT[14]. Ahora, FAT[14] podría albergar el valor ‘4’, de manera que el siguiente elemento sería FAT[4]. Si FAT[x] contiene el valor reservado ‘EOC’ (End Of Cluster, es decir, Fin de Clúster), nos indica que estamos al final de esta cadena.Las dos primeras entradas de la FAT (FAT[0], FAT[1]), están reservadas. Ninguna entrada de la FAT puede nunca apuntar a estas dos primeras entradas. La primera, FAT[0], aloja un campo heredado, el “media byte”. La segunda, FAT[1], es usada por el sistema operativo para gra-bar un apagado “limpio” o “sucio”. Una consecuencia importante de esto es que: cuando es interpretada como un número de “clúster”,

a una entrada de la FAT se le debe restar primero dos unidades de su valor marcado. Es decir, si una entrada de la FAT es 14, entonces el número de “clúster” es de (14–2) = 12 (0xC). Así pues, la entrada FAT[14] de la Figura 1 también apunta al “clúster” 14 – 2 =12, el cual contiene la primera parte del fichero de datos real y FAT[11] apunta al “clúster” 11 – 2 = 9, que contiene la parte final del fichero de datos real. Esto puede ser considerado la base del sistema FAT, aunque se deben añadir muchos más detalles extras para describir una imple-mentación real.

Punto de entrada FAT¿Cómo se navega por la FAT? La idea es comenzar con el directorio raíz. Un directorio es un único fichero que contiene una serie de entradas de 32 bytes (esto es verdad tanto para FAT16 como para FAT32). Cada entrada de 32 bytes contiene una estructura que des-

cribe otro fichero o direc-torio. La entrada incluye el tiempo de creación, los atributos del fichero y un “puntero en el interior de

la FAT”. El modo en que inicialmente se localiza el directorio raíz difiere del sistema FAT16 al FAT32. Con FAT16 calculamos la localiza-ción y tamaño del directorio raíz usando el “Registro de Volumen de Arranque” (Volume Boot Record o VBR). En FAT32 el VBR pro-porciona el índice de inicio de la cadena FAT o del fichero del direc-torio raíz, es decir, un fichero de directorio raíz FAT32 puede crecer sin ataduras. En ambos casos también podemos trabajar con la FAT propiamente dicha, comenzando usando la información del VBR.El primer elemento FAT en una cadena FAT no se encuentra en la FAT propiamente dicha, sino que se encuentra en una entrada de direc-

FAT usa el formato “little endian”

FAT PequeñoBibliotecas para sistema de ficheros FAT de código abierto para aplicaciones embebidas

Personal Download for I © Elektor

63414

99elektor 7/8-2011

PROGRAMACIÓN

torio. La única excepción a esta regla es el campo BPB_RootClus del VBR FAT32, el cual contiene el primer elemento FAT de la cadena FAT para el directorio raíz. El espacio del disco está dividido en clústeres de sectores físicos con-tiguos. Como el tamaño del clúster es conocido y los clústeres están compuestos de sectores contiguos, la FAT sólo necesita el sector de comienzo de un clúster dado. El tamaño del sector es, normalmente, de 512 bytes aunque el sistema FAT soporta tamaños de sectores de 512, 1024, 2048 y 4096 bytes.¿Cuál es el propósito del clúster? Es el de mantener el número de regiones FAT direccionables en un valor aceptable: con un tamaño de clúster de 1, un fichero grande podría tener una cadena FAT demasiado larga; un elemento para cada sector lógico ocupado por el fichero. Con un tamaño de clúster de 64, sólo necesitamos una única entrada FAT para cada 64 sectores lógicos, con la desventaja de que un fichero que utiliza 65 sectores lógicos (bloques) desper-diciará 63 sectores lógicos.

Bibliotecas FAT de código abiertoEn la Red podemos encontrar disponibles muchas implementacio-nes FAT, tanto comerciales como gratuitas, y algunas de ellas forman

parte de un proyecto más grande. Así pues, decidimos concentrar-nos en las bibliotecas FAT de plataformas independientes de código abierto. Los requerimientos mínimos para las pruebas fueron:• Acceso a los ficheros del directorio raíz.• Crear/Abrir/Leer/Escribir/Recortar;• Soporte FAT32 (para máxima compatibilidad con los dispositivos).• ANSI C (C90 preferentemente).También tenemos una preferencia adicional:• No hay obligación de publicar el código de usuario.En otras palabras, idealmente, deberíamos ser libres de utilizar el código que quisiésemos. ¿Es esto incongruente con el espíritu de código abierto? No necesariamente: Puedo no tener problemas en compartir el código de un módulo (como el de una biblioteca FAT), pero puede ser un suicidio comercial compartir el código de una aplicación completa, como la de un nuevo producto bajo prueba.Para ayudar a las pruebas se ha desarrollado una “utilidad de prueba de bibliotecas” (‘library test suite’). Dicha utilidad tiene un interfaz similar al DOS (ver Figura 2), permitiendo al usuario comprobar, de manera interactiva, la biblioteca utilizando comandos similares al DOS, a través de un emulador de terminal como el Realterm. La utili-dad de prueba está disponible en la página web para este artículo [1].

cadena FAT[MBR opcional]

MyFile

Archivo Raiz del Directorio

CadenaFAT típicapara unarchivo

X X 0000 000E

000B 0000 0000 0000

0000 0000 0000 FFFF

0000 0000 0004 0000

0 1 2 3

4 5 6 7

8 9 A B

C D E F

FAT

Sub0

modbus

txt 0003

c

20

10

20

VBR

Medio

FAT32FAT16

(Primer sector @0009)

Cluster de sectores (Primer sector @0002)

Cluster de sectores (Primer sector @000C)

Cluster de sectores

100569 - 11

000B-2 = 00090004-2 = 0002

000E-2 = 000C

Último clusterdel archivo

(Primer sector @0001)

Cluster de sectores

0003-2 = 0001

Primer clusterdel archivo

Figura 1. Vista general del sistema de ficheros FAT y de la organización de los datos. (0xFFFF es el final del ejemplo de cadena FAT). Los dispositivos particionados con este sistema contienen un Master Boot Record (MBR, o Registro de Arranque Maestro), no localizado en la partición, que contienen la tabla de partición primaria. Cada entrada de esta tabla nos dice el tipo de partición (FAT, OS/2, Linux, etc.), el

sector de inicio y el número de sectores de la partición.

Personal Download for I © Elektor

63414

100 7/8-2011 elektor

PROGRAMACIÓN

Cuando personalizamos una biblioteca genérica, normalmente tenemos que definir:• Función, inicialización del dispositivo (media).• Función, escritura del sector.• Función, lectura de sector.Normalmente habrá un fichero de configuración de biblioteca donde se puede ajustar la cantidad de fichero almacenado (más memoria RAM significa un fichero de E/S más rápido), así como especificar los tipos de ficheros de operación requeridos (más memoria ROM significa una mayor funcionalidad).El ejemplo con el que trabajamos fue el KIT1 de iniciación PIC18F (DM180021) de Microchip, que ejecuta el código generado por el compilador C18 con todas las optimizaciones habilitadas. También

se hizo la compilación para el PIC24FJ256GB110 usando el compi-lador C30. Este compilador permite generar una solución de com-promiso entre tamaño de código y velocidad: se eligió la opción de tamaño del código lo más pequeño posible. Sin embargo, nos dis-pusimos de ningún material para verificar los resultados.

EFSLLa “situación de juego” con la biblioteca EFSL es un poco difícil de juzgar. La descarga por defecto de la página www.sourceforge.net/projects/efsl/ es efsl-0.3.6. El manual que acompaña advierte “Esta versión actual no es realmente utilizable”. La versión actualmente estable es la 0.2.8. El árbol de código fuente incluye una serie de objetivos ejemplo y una buena documentación.

FormateoComo los programadores embebidos, nosotros tampoco requerimos una función de formateo. Si necesitamos dar formato a un dispositivo flash, advertimos que un error habitual es el de utilizar una las herramientas habituales de un PC estándar. La razón es que las distintas estructuras del sistema de ficheros (particiones, clústeres, etc.) deberían estar preparadas para el denominado borrado de bloques. No es posible borrar un único byte. Por el contrario, es necesario borrar un bloque entero (quizás 64 sectores). La colocación cuidadosa de la estructura FAT hecha por el fabricante de las tarjetas SD ayuda a la lógica interna de las tarjetas a realizar sus principales tareas:

•   “Wear levelling” — para asegurar una vida larga de la tarjeta.•   Acceso de lectura y escritura rápido.

Si el programa de formateo no es ‘SD-Card aware’, las prestaciones y vida de la tarjeta pueden sufrir.

Sólo dos de las bibliotecas estudiadas soportan realmente la función de formateo:

•   EFSL – ¡Quizás! La función mkfs_makevfat no está documentada por el autor y las pocas referencias encontradas en la página web no son muy alentadoras. Quizás el nombre del volumen por defecto, ‘DISCOSMASH!’ es un aviso...

•   FatFs – Reconoce dispositivos media Flash.

Tarjetas SD y licenciasHoy día, muchas placas de desarrollo de microcontroladores tienen un conector de tarjetas SD. En la mayoría de estos sistemas, el conector de la tarjeta SD está simplemente conectado al bus SPI del microcontrolador, sin el uso de un controlador host dedicado. La tarjeta SD estándar está controlada por la Asociación de Tarjetas SD, “una amplia organización de la industria que establece los estándares para promover la aceptación del producto SD en una gran variedad de aplicaciones”. La Asociación de Tarjetas SD (SD Card Association) exige que todas las empresas que planifiquen crear o fabricar productos que acepten tarjetas SD (por ejemplo, teléfonos móviles, cámaras u ordenadores) o productos auxiliares SD (por ejemplo, adaptadores o tarjetas de E/S SD) se unan a la Asociación de Tarjetas SD y acepten el Host/Ancillary Product License Agreement (HALA) (o Acuerdo de Licencia para Productos Host/Ancillary) con la Asociación de Tarjetas SD y el SD-3C, LLC. Esto es independiente de la forma en que la tarjeta pueda ser utilizada, sólo en el modo SPI o no.

Por lo tanto, si se quiere diseñar o construir una de estas tarjetas, ¿es necesario pagar una cuota de licencia? Incluso si eso es lo que le gustaría a la Asociación de Tarjetas SD, la respuesta es, probablemente, no. De acuerdo con el documento SD Host Controller Simplified Specification Version 2.00, del 8 de Febrero de 2007, un producto host (“huesped”) SD es un sistema que contiene un controlador host que cumple con esta especificación. De acuerdo

con la Asociación de Tarjetas SD, el controlador host está situado entre el conector host de la SD y el controlador del bus SD.

Será muy probable que nuestro sistema no se ajuste a las especificaciones del controlador host y, por lo tanto, nuestra tarjeta no podrá ser calificada como un producto host SD. Pero, por favor, no usen nuestras palabras como algo inamovible, esto es lo que nosotros creemos. En caso de duda, pregunten a la Asociación de Tarjetas SD. Elektor no puede aceptar ninguna responsabilidad por cualquier pérdida o problemas causados por la incorrecta interpretación de las normas de la Asociación de Tarjetas SD.

www.sdcard.org/developers

Personal Download for I © Elektor

63414

101elektor 7/8-2011

PROGRAMACIÓN

Para utilizar la bilbioteca EFSL primero hay que modificar las cabece-ras de los archivos ejemplo para adaptarlas a nuestro objetivo. Para el PIC se aplicaron los siguientes archivos/modificaciones:

config-sample-avr.h//#define HW_ENDPOINT_ATMEGA128_SD#define HW_ENDPOINT_PIC_SD//#define DEBUG

interface.h#elif defined(HW_ENDPOINT_PIC_SD)#include “pic_efsl.h”

types.hConfirmar que euint16 etc son correctos.

Existen unas opciones de configuración para una solución de com-promiso entre prestaciones y uso de memoria RAM. Sin embargo, no existen opciones de configuración disponible para conseguir una buena relación entre funcionalidad y tamaño de código. Por ejem-plo, la escritura de ficheros siempre está disponible.La contribución del núcleo de usuario es la de definir una estruc-tura y cuatro funciones (ver ejemplos atmega128.h, atmega128.c). Los ficheros equivalentes para PIC (pic_efsl.h, sd.c) han sido escritos para este artículo.En el fichero config.h, hemos elegido “#define IOMAN_NUMBUFFER 1”. El manual recomienda un “buffer” por objeto File-System, dos “buffers” por fichero, un “buffer” extra para operaciones de búsqueda/escritura, y tres “buffers” extra para “atenuar” las operaciones de listas de ficheros. Para nuestro programa de pruebas (un fichero abierto con búsqueda y lista), tenemos ya un tamaño de 7 x 512 = 3584 bytes. Esto es algo que no nos podemos permitir en nuestro ejemplo de trabajo, por lo que hemos usado tan sólo un “buffer”.

Licencia“…se le permite hacer un enlace estático a la biblioteca sin tener que dar licencia a su propio código así como a GPL.”

ConclusiónLa biblioteca EFSL se usa ampliamente, por ejemplo, en la AN10916 de NXP y en la AN3102 de ST. Sin embargo, no parece ser tan amplia-mente utilizada como el formato FatFs. Es algo inquietante que la nueva versión, la 0.3.6, parece que ha sido abandonada.Por otro lado, el código fuente actual y su documentación son de un gran nivel y el hecho de que el mayor vendedor de CI lo esté usando en sus notas de aplicación es algo tranquilizador.

Internetsourceforge.net/projects/efsl/files/

FatFsLa biblioteca FatFs tiene una impresionante colección de proyec-tos de ejemplo. Junto con el código, hay esquemas eléctricos que muestran interfaces a dispositivos tales como tarjetas MMC/SD, dis-

cos duros IDE y equipos Compact Flash. Las plataformas que cubre son ATMega, H8, LPC2368, PIC24, μPD70F3706, y win32 (basadas en PC). Existe una extensa estadística que muestra las huellas de código y las prestaciones en bancos de prueba para estas platafor-

Figura 2. Captura de pantalla de una sesión de prueba de biblioteca.

Más información en

www.elektor.es/app

Elektor ofrece ahora una App que no te puedes permitir no tener en tu iPhone, iPod Touch o iPad. La caja de herra-mientas electrónica de Elektor (Elektor Electronic Toolbox) es una colección de nada menos que 28 herramientas electrónicas que puedes escoger entre una amplia colección de iconos.

Destacados:– Bases de datos de Transistores, FETs,

Triacs, Thyristores, Diodos e ICs– Diseño de circuitos con NE555 – Calculadora de la Ley de Ohm– Esquemas– Convertidor de bases numéricas– Cálculo de resistencias para LED– Cálculos R/L y BJT – Y más

Elektor App para iPhonee iPad

¡Ahora disponible en la

Apple iTunes Store por

solo 4,99 €!

La caja de herramientas electrónica de Elektor

Publicidad

Personal Download for I © Elektor

63414

102 7/8-2011 elektor

PROGRAMACIÓN

mas con diferentes configuraciones de biblioteca, en la página web de FatFs. Existe un margen razonable para el tamaño del código comercial frente a la funcionalidad, aunque algunas funciones estén agrupadas. Dichas funciones (f_truncate, f_stat, f_getfree, f_unlink, f_mkdir, f_chmod, y f_rename) no pueden ser habilitadas individualmente.El adaptar la biblioteca FatFs a nuestras necesidades es similar al pro-ceso con la biblioteca FSL. La contribución del núcleo de usuario es la de definir seis funciones que son declaradas en el fichero diskio.h. Cuatro de estas funciones son prácticamente idénticas a las necesi-tadas en la biblioteca EFSL.Cuando se comprobó la biblioteca FatFs con el compilador C18 fue necesario modificar el código del fichero ff.c para evitar errores en tiempo de ejecución:

int chk_chr (const char* str, int chr)

debe ser re-declarada como

int chk_chr (static char rom *str, int chr)

Este es un problema específico de este compilador.

LicenciaSin restricción de uso.

ConclusiónLa biblioteca FatFs es ampliamente utilizada y se mantiene al día activamente. Parece ser que es la biblioteca más popular y, por ello, debería estar relativamente libre de fallos. El amplio rango de ejemplos y estadísticas hacen que esta biblioteca sobresalga de las demás. El código fuente no es sencillo de seguir y es bastante complicado en su estilo. La docu-mentación es razonable pero no lo suficientemente clara cómo debería ser. El foro de usuarios es útil pero algo primitivo.

Internetelm-chan.org/fsw/ff/00index_e.html

Petit FatFsEsta es una versión mínima de la biblioteca FatFs, destinada a los microcontroladores de 8 bits. Ofrece una funcionalidad de escri-tura muy limitada:

1. Sólo podemos sobrescribir un fichero existente.2. No podemos crear un fichero.3. No podemos ampliar el fichero.

En resumen, no satisface nuestros requerimientos mínimos.

LicenciaSin restricción de uso.

ConclusiónLa biblioteca Petit FatFs es útil en (pequeños) sistemas que solo necesitan la capacidad de lectura como reproductores MP3 y mar-cos digitales.

Internetelm-chan.org/fsw/ff/00index_p.html

SD-ReaderEl sitio web da una buena impresión. Esta biblioteca difiere de las otras en, al menos, tres aspectos importantes:

1. El código fuente requiere el compilador C99. Así, por ejemplo, el compilador C18 no es adecuado.

2. Está específicamente indicado para tarjetas SD.3. El interfaz de usuario es muy diferente de las otras biblioteca FAT.

No está basado en sectores sino que está basado en desplaza-miento de bytes, donde el desplazamiento de byte no está ali-neado con el límite de 512 bytes. Sin embargo, el fichero sumi-nistrado, sd_raw.c, proporciona la mayor parte del código reque-rido para utilizar la biblioteca.

Un problema es que parece que no hay forma de que el código de usuario pueda acceder a la posi-ción del fichero ya que el ‘field pos’ está definido en un fichero C en lugar de un fichero H. (tam-poco existe la función ftell).Otro aspecto es que el fichero abierto no usa el familiar modo ‘+a’ etc de fichero de paráme-tros. En las pruebas, el código de cliente tuvo que ser escrito para replicar el modo ‘a+’ (aña-dir si el fichero existe y, si no, crearlo).

LicenciaGPLv2 o LGPLv2.1.

Licencia FATMicrosoft solicitó, y obtuvo, una serie de patentes para las piezas clave del sistema de archivos FAT a mediados de la década de los 90. El 3 de diciembre de 2003, Microsoft anunció que ofrecería licencias para el uso de su especificación FAT y “propiedad intelectual asociados” a un coste de 0,25 $ de royalties por unidad vendida, con un canon máximo de 250.000 $ por contrato de licencia. Para ello, Microsoft citó cuatro patentes en el sistema de archivos FAT como base de sus reclamaciones de propiedad intelectual. Los cuatro pertenecen a la ampliación de nombre de fichero largo para la primera FAT vista por vez en Windows 95. Muchos comentaristas técnicos han concluido que estas patentes sólo se refieren a las implementaciones FAT que incluyen soporte para nombres de ficheros largos, y que los dispositivos media extraíbles de estado sólido y los dispositivos de los usuarios que sólo usan nombres cortos, no se verían afectados. (Fuente: Wikipedia)

Personal Download for I © Elektor

63414

103elektor 7/8-2011

PROGRAMACIÓN

ConclusiónUn proyecto interesante, pero que actualmente carece de la fun-cionalidad de otros ejemplos y es específico para dispositivos SD/MMC, más que para dispositivos multimedia genéricos. Los reque-rimientos de datos y variables son los más pequeños de todas las soluciones vistas.

Internetwww.roland-riegel.de/sd-reader/index.html

Biblioteca FAT File IOComo sucede muy a menudo con los proyectos de código abierto, esta biblioteca ha desaparecido desde que escribimos el artículo. En cualquier caso, decidimos publicar nuestros hallazgos para el supuesto de que volviese a estar activa de nuevo. La versión que usamos está incluida en el paquete de descarga de la página web para este artículo [1].El uso y configuración de esta biblioteca es particularmente senci-llo. Las únicas exigencias para el código de usuario de esta biblio-teca son las rutinas de lectura/escritura (hasta que hacemos llamada nuestro propio código de inicialización).En el fichero fat_opts.h, uno puede elegir entre soportar nombres de fichero largos, el número de “buffers”, y el número de ficheros abiertos simultáneamente.

No fue posible verificar el código en el dispositivo de destino ejem-plo, PIC18, debido a la ausencia de memoria RAM (espacio variable en el PIC). La biblioteca requería 2256 bytes de memoria RAM ade-más de la memoria total interna de 3,8 KB. Sin embargo, por medio del linkador C18 ‘inventamos’ un modo de obtener memoria RAM extra, pudiendo obtener algunas estadísticas estimadas del C18.

LicenciaGPL. Si incluimos programas GPL en nuestro proyecto, debemos proporcionar el código fuente de dicho proyecto también. Si que-remos una versión con una licencia menos permisiva, para uso en aplicaciones comerciales de código cerrado, deberemos contactar con el autor para más detalles.

ConclusiónUna biblioteca sencilla de utilizar pero que tiene unos requerimien-tos de gran cantidad de espacio de código y espacio de datos.

InternetLa biblioteca FAT File I/O usada se encuentra aquí: www.robs-pro-jects.com/filelib.html

(100569)Internet Links[1] www.elektor.com/100569

Tabla 1. Una comparativa de varias bibliotecas FAT de código abierto

Biblioteca Compilador Dispositivo destino Código Dato Comentarios

EFSL0.2.8

C18 v3.35 PIC46J50 34292 1258

C30 v3.23 PIC24FJ256GB110 15516 1266

ARMCC STM32F107xx 8338 —

FatFs R0.08

C18 v3.35 PIC46J50 21572 658Lectura y escritura, _FS_MINIMIZE = 1

C30 v3.23 PIC24FJ256GB110 9099 826

WinAVR AVR8386 / 12700

~600 Lectura y escritura, _FS_MINIMIZE = 3/0

CH38 H86980 / 10686

C30 PIC247395 / 11376

V850ES CA8504930 / 7730

SHC SH-2A5600 / 8592

WinARM ARM7TDMI6636 / 10520

VC6 x864923 / 7545

sd-reader C30 v3.23 PIC24FJ256GB110 5616 204

La huella del código es asombrosamente pequeña. Sin embargo, esto es debido probablemente a que una gran cantidad de trabajo se realiza en el código de interfaz, el cual realiza el acceso específico al medio. El fichero sd_raw.c utiliza 4341 bytes. Por comparación, el código del interfaz EFSL tiene 2649 bytes, mientras que el del FatFs es de 1071 bytes

Biblioteca de fichero de E/S

C18 v3.35 PIC46J50 24648 2256Huella de espacio de datos grande

C30 v3.23 PIC24FJ256GB110 35958 2258

Personal Download for I © Elektor

63414

104 7/8-2011 elektor

FPGA

Milkymist SoCUn sistema sobre circuito integrado programable de código abierto

Sébastien Bourdeauducq (Francia)Fundador del proyecto Milkymist

Sin duda conoces los sistemas sobre circuitos integrados

(system on chip o SoC). Son «grandes microcontroladores»

que incluyen un microprocesador potente, un controlador

SDRAM y diversos periféricos, según las aplicaciones de destino: a

veces, incluso, aceleradores de tratamiento gráfico para OpenGL ES.

Para el electrónico curioso, estos circuitos son ‘cajas negras’ de las que

no se sabe gran cosa sobre su funcionamiento y los enormes medios que son

necesarios para su diseño y fabricación son suficientes para echar para atrás las

iniciativas de un aficionado para reproducirlos.

Sin embargo, las FPGA baratas, cada vez más densas y potentes, permiten, hoy día, a toda persona competente y motivada, atacar las capas superiores del diseño de un SoC: las de la arquitectura in-formática y las del código escrito en un lenguaje de descripción de material (típicamente VHDL o Verilog). Esto va más allá de la simple curiosidad intelectual, ya que este modo de trabajar permite inte-grar fácilmente periféricos específicos sobre nuestro circuito inte-grado (CI), aprovechando la flexibilidad y la potencia de cálculo de las FPGA. Nos podríamos, incluso, imaginar una gran comunidad «open source» (de ‘código abierto’), comparable a la de Linux, si los grandes fabricantes de semiconductores se apuntan a este juego (algo que, sin querer tirarles el guante, no sería para mañana).Este artículo presenta el Milkymist SoC, un sistema sobre CI progra-mable cuyo código fuente, escrito en Verilog, está casi totalmente bajo licencia GNU GPL, a semejanza de Linux. No entraremos en los detalles de su diseño, por el momento, y nos centraremos, por ahora, en su programación, como podríamos hacerlo con cualquier otra plataforma más convencional. Todo ello con el fin de mostraros que es totalmente posible hacerlo de otra forma que la de utilizar SoC cerrados. Los lectores interesados por la arquitectura y el fun-cionamiento interno de Milkymist SoC podrán consultar la docu-mentación (en inglés) y el código, disponibles en línea, o esperar a próximos artículos.

Primer contactoYendo sobre la página web del proyecto [1], es posible que nos sor-prendamos por encontrar allí un sintetizador de vídeo destinado a los VJ (vídeo jockey), clubs y músicos. Este equipo (ver Figura 1) permite agregar unas prestaciones musicales de efectos visuales

psicodélicos e interactivos, utilizando, por ejemplo, la imagen de un bailarín o una bailarina, grabada en directo por una cámara, y enviada a un conjunto de efectos programables.Efectivamente, es la primera aplicación abordada por el proyecto, por medio del programa de síntesis del video Flickernoise, desarrollado por la plataforma. Contrariamente a muchas empresas de código libre, el modelo de negocio de Milkymist no es el de facturar servi-cios asociados al código libre (‘infogestión’, servicios en línea, soporte de ingeniería...), sino el de desarrollar cualquier elemento de la A a la Z y el de vender un producto al gran público utilizando técnicas libres.El proyecto va mucho más allá y tiene como objetivo el utilizar tam-bién los menos componentes propietarios posibles. Así, técnicas, inicialmente desarrolladas en el ámbito de Milkymist se reencuen-tran en aplicaciones que no tienen nada que ver con los gráficos o la síntesis de video. Por ejemplo, el experimento CoNNeCT de la NASA, que será instalado a bordo de la estación espacial internacional en enero de 2012, contiene un sistema de radio digital que reutiliza el controlador SDRAM desarrollado por Milkymist y que está disponi-ble en Internet para su descarga gratuita. O incluso, el sistema de depuración de programas embebido (basado en GDB), desarrollado por la plataforma Milkymist, que está en fase de estudio para una utilización en un sistema de control de los aceleradores de partícu-las en el CERN y en el GSI.Actualmente está disponible una versión beta del sintetizador de video Milkymist One, como kit de desarrollo, en tiendas especia-lizadas, tales como Hackable Devices [1], en Francia. Se trata de una placa de desarrollo para FPGA, o para programas embebidos, totalmente válida: la versión beta hace referencia al hecho de que el programa Flickernoise contiene todavía algunos errores (‘bugs’)

Personal Download for I © Elektor

63414

105elektor 7/8-2011

FPGA

y a que faltan aún algunas funciones que hacen que no esté todavía listo para el gran público.La plataforma Milkymist One está basada en una FPGA Spartan-6 de Xilinx (XC6SLX45), alrededor de la cual gravitan numerosos peri-féricos: 128 MB de memoria DDR SDRAM, 32 MB de memoria flash NOR, salida VGA (resolución de hasta 1280 x 1024), Ethernet 10/100,

entrada de video PAL/SECAM/NTSC, tarjeta de memoria (que puede aumentar fácilmente la capacidad de almacenamiento hasta varios GB), audio AC’97, dos puertos DMX512 (RS-485), un receptor de infrarrojos de 36 kHz (por ejemplo RC5), dos puertos MIDI, y dos puer-tos USB huésped. Para los que les gusta cacharrear, la placa está equi-pada con un puerto de expansión que posee 12 líneas digitales con lógica de 3,3 V. Es bastante poco, con relación a una placa de desa-rrollo típica, pero ello nos permite incluso hacer expansiones intere-santes además de que, correctamente programado, el XC6SLX45 per-mite alcanzar frecuencias de entrada/salida de hasta 1 GHz por línea:La FPGA contiene todo el conjunto del Milkymist SoC (ver Figura 2). Éste se compone de un corazón microprocesador LatticeMico32 (RISC de 32 bits), de bloques IP que permiten controlar todos los periféricos de Milkymist One desde el programa, y de aceleración gráfica. A excepción del núcleo LatticeMico32, el resto del código Verilog ha sido desarrollado específicamente para Milkymist y colo-cado bajo licencia GNU GPL.También es posible el llevar el Milkymist SoC sobre otras placas de desarrollo con FPGA. Que sean de los fabricantes Altera, Lattice o Xilinx no tiene demasiada importancia ya que se ha puesto un inte-rés especial en la portabilidad del código Verilog del SoC. En cual-quier caso, el adaptar el sistema de memoria hacia otra familia de FPGAs o de otro tipo de memoria SDRAM, requiere de unos conoci-mientos técnicos particulares y muchas tentativas de portabilidad han fracasado a causa de este punto delicado.Por último, si no disponemos de una placa de desarrollo, por el momento, podemos realizar las manipulaciones descritas en este artículo a través del emulador QEMU. Todo esto será explicado a lo largo de este artículo.

(© 2011 John Lejeune)

Figura 1. El Milkymist One con su encapsulado.(© 2011 Sharism at Work Ltd.)

Enlaces en Internet[1] www.milkymist.org

[2] www.hackable-devices.com

[3] www.cygwin.com

[4] www.milkymist.org/snapshots/latest/

[5] www.qemu.org

[6] http://lists.milkymist.org

Personal Download for I © Elektor

63414

106 7/8-2011 elektor

FPGA

DesembalajeSupongamos que somos los propietarios felices de un Milkymist One. Conectamos el adaptador de tensión de red, una pantalla SVGA, y un teclado y un ratón USB. Pulsamos sobre el botón de encendido (en el centro) y, después de una docena de segundos, nos aparece en pantalla el programa Flickernoise (ver Figura 3).Os animamos a explorar un poco sus distintas funciones para que podáis haceros una idea de la potencia de la plataforma.Cuando hayamos acabado, pulsaremos sobre Shutdown (Apa-gado) y, después, sobre Reboot (Reinicio) (con un poco de suerte habremos acabado la traducción francesa antes de que este artí-culo haya sido publicado, con lo que dispondrán de esta última versión) y, a continuación, mantendremos la tecla Esc (Escape) pulsada durante el arranque. En lugar de Flickernoise, deberemos tener el interfaz del cargador de arranque, más espartano, llamado BIOS (Figura 4).Escribimos «help», seguido de la tecla ‘Entr’. El cargador de arranque (‘bootloader’) nos mostrará los comandos disponibles (Figura 5). Entre la lista de comandos, nos quedaremos con los que nos per-miten arrancar el programa final desde diferentes medios:

• flashboot ejecuta el programa almacenado en la memoria flash NOR. Este comando se ejecuta por defecto y, como el de Flicker-noise, se ejecuta automáticamente.

• netboot descarga el programa por TFTP desde la red Ethernet. Gracias a la velocidad Ethernet, este método es particularmente útil cuando los ficheros de depuración ‘pesan’ varios MB, como los de Flickernoise o los del núcleo Linux.

• fsboot lanza el programa almacenado en la tarjeta de memoria.• serialboot descarga el programa desde una conexión serie. Este

será el método que usaremos a partir de ahora.

Veamos ahora cómo se escribe un programa de este tipo.

Instalación de las herramientas desarrolloLas herramientas de desarrollo están previstas principalmente para funcionar bajo un sistema Linux. Si estamos trabajando bajo Win-dows, deberíamos, seguramente, ser capaces de utilizarlas a través de Cygwin [3]. Para los usuarios de productos Apple, hay un gran número de personas que han contribuido con ciertas herramientas en MacPorts, pero en el momento de la redacción de este artículo, este detalle no estaba aún completo.Así pues, nos centraremos en el sistema operativo RTEMS. Las otras elecciones posibles actualmente, a desarrollar sobre Milkymist SoC, son uClinux (una versión de Linux para sistemas sin MMU) y bare metal, sin sistema operativo, como en un microcontrolador.El RTEMS (Real Time Executive for Multiprocessor Systems) es un sistema operativo en tiempo real de código abierto para sistemas embebidos. Ha sido desarrollado desde 1988, a instancias del ejército americano. El acrónimo RTEMS significaba, al principio, Real Time Executive for Misil Systems, que rápidamente fue cambiado a Time Executive for Mili-tary Systems, antes de tomar su significado actual.RTEMS ha sido diseñado para ser compatible con distintos están-dares de APIs, principalmente POSIX. Aunque no disponga de un sistema de protección de memoria, el RTEMS proporciona casi to-dos los servicios POSIX que no están unidos a él. En la terminología POSIX, podría ser calificado de sistema monoproceso y multitarea (‘thread’). El RTEMS también incluye una portabilidad de la pila TCP/IP de FreeBSD y varios sistemas de ficheros (MSDOS, NFS…).Gracias a esta compatibilidad, es posible hacer funcionar, sin demasia-das dificultades, numerosas librerías de programas provenientes de la inmensa diversidad del mundo Linux. Esto permite obtener un entor-no de programación bastante rico, manteniendo una cierta ligereza en relación a un Linux embebido. Una aplicación RTEMS puede ocu-par, fácilmente, menos de 150 KB y arrancar en menos de un segundo.Para instalar el conjunto de las herramientas que permiten desa-rrollar con RTEMS sobre Milkymist, lo más sencillo es utilizar los ficheros binarios para PC bajo Linux, disponibles en [4] y que hay que colocar en el directorio /opt/rtems-4.11. A continuación, de-beremos actualizar algunas variables de entorno:$ RTEMS_MAKEFILE_PATH=/opt/rtems-4.11/lm32-rtems4.11/milkymist$ export RTEMS_MAKEFILE_PATH$ PATH=/opt/rtems-4.11/bin:$PATH$ export PATHTambién podemos compilarlas fácilmente, nosotros mismos, para nuestra máquina de desarrollo, gracias a un conjunto de “scripts”. Para ello, modificaremos en primer lugar nuestro entorno de desa-rrollo, de acuerdo a lo mencionado más arriba, y cargamos los “scripts” por medio de la herramienta Git:$ git clone git://github.com/milkymist/scripts.gitGit es un sistema de control de versión, es decir, un programa que permite organizar correctamente las diferentes modificaciones hechas en un ‘almacén’ (repository) de las versiones de código, así como trabajar eficazmente, en equipo, sobre el mismo programa. Es una herramienta de una calidad excelente, que ha sido desarrollada por Linus Torvalds para reemplazar la herramienta propietaria de Bi-tKeeper, que era utilizada antes para el desarrollo del núcleo Linux.

Figura 2. Arquitectura interna del Milkymist SoC.

Personal Download for I © Elektor

63414

107elektor 7/8-2011

FPGA

Una vez se ha efectuado la descarga de los “scripts”, nos asegura-remos de que disponemos del directorio /opt/rtems-4.11 (inicial-mente vacío) y los ejecutamos con:$ make -C compile-lm32-rtems$ make -C compile-flickernoise milkymist-git-clone$ make -C compile-flickernoise flickernoise.fbiEsto nos puede llevar varias decenas de minutos. En efecto, además de continuar con la compilación basada en GCC, cons-truiremos un cierto número de componentes lógicos (de pro-grama), para ser utilizados y ejecutados sobre Milkymist, prin-cipalmente:

• la biblioteca C y el “núcleo “ RTEMS• la gestión del sistema de ficheros flash YAFFS2• los codificadores y decodificadores de imágenes libpng, libjpeg,

openjpeg (JPEG2000) y jbig2dec (JBIG2)• la librería del contenido de las políticas Freetype• la librería de diseño libgd• una variante de la librería OpenSoundControl liblo• el sistema de contenido de documentos PDF MuPDF (utilizado

para la ayuda en línea de Flickernoise)• el cliente red multiprotocolos libcurl• el organizador (“parseur”) XML Expat• la herramienta para el interfaz de usuario MTK

El uso de todas estas librerías se sale del alcance de este artículo. Así pues, aquí simplemente son mencionadas con el fin de darnos una idea de la variedad con la que es posible trabajar hoy día en la plataforma.

Escritura y compilación de nuestro primer programaYa estamos listos para el clásico «Hello World». Nada nuevo aquí: abrimos un editor de texto e introducimos sencillamente el código siguiente, que grabaremos con el nombre hello.c:

#include <stdio.h>int main() printf(«Hello World!\n»); while(1);

Sin embargo, la compilación es algo menos evidente y se hace con la ayuda del siguiente comando:$ lm32-rtems4.11-gcc -O2 -mbarrel-shift-enabled -mmultiply-enabled -mdivide-enabled -msign-extend-enabled -I $RTEMS_MAKEFILE_PATH/lib/include -B $RTEMS_MAKEFILE_PATH/lib -specs bsp_specs -qrtems -o hello hello.cSi no se muestra ningún mensaje de error, este paso se ha efec-tuado de modo correcto y debemos disponer de un fichero binario llamado «hello», en formato ELF. Este fichero contiene nuestra apli-cación «Hello World» y el núcleo RTEMS, enlazados estáticamente. Este ejecutable corre directamente sobre la placa de desarrollo o en el emulador QEMU.

Figura 3. Captura de pantalla de Flickernoise.

Figura 4. El cargador de arranque (‘bootloader’), llamado BIOS.

Figura 5. Lista de los comandos disponibles.

Personal Download for I © Elektor

63414

108 7/8-2011 elektor

FPGA

Prueba con QEMUQEMU [5] es un programa muy bien conocido, que permite emular diversas plataformas o hacer su ‘virtualización’. Las versiones recien-tes son capaces de emular directamente el Milkymist SoC.Una vez que QEMU está instalado, nos basta con introducir el siguiente comando para poder probar nuestro fichero (programa):$ qemu-system-lm32 -M milkymist -nographic -kernel helloEsto nos debería mostrar en pantalla el célebre «Hello World». Pro-bemos ahora el mismo programa sobre la placa de desarrollo.

Prueba en la placa de desarrolloVamos a usar el puerto serie para descargar nuestra aplicación. Este puerto también hará las funciones de consola para mostrar los men-sajes pasados a la función printf().La placa está equipada con un puerto serie de 3,3 V, que se encuen-tra entre los conectores Ethernet y VGA. El terminal marcado como RX es por el que la placa recibe los datos, y el marcado con TX el utilizado por la placa para la emisión. El terminal GND, evidente-

mente, está unido a la masa y el terminal 3V3 es una fuente de ali-mentación de 3,3 V.Podemos utilizar el adaptador que queramos con tal de que use los niveles de 3,3 V (no el de 5 V o el RS-232) o la combinación serie + JTAG (Figura 6), que se vende con los kits de desarrollo Milky-mist One. Esta pequeña placa va a instalarse sobre los dos conec-tores serie y JTAG del Milkymist One, y posee un puerto USB para la conexión con un PC. Con el núcleo Linux reciente, el puerto serie nos debería de aparecer inmediatamente como /dev/ttyUSB0.Para la descarga del fichero binario debemos usar una herramienta llamada flterm. Dicha herramienta está disponible en ciertas distri-buciones Linux, como Fedora. Si no es así, lo descargamos y lo com-pilamos manualmente:$ wget https://github.com/milkymist/milkymist/raw/master/tools/flterm.c$ gcc -O2 -o flterm flterm.cPara cargar nuestro programa en la placa lo primero que tenemos que hacer es convertirlo del formato ELF al formato binario puro. Para ello usaremos el siguiente comando:$ lm32-rtems4.11-objcopy -Obinary hello hello.binLanzamos ahora flterm de la siguiente forma:$ flterm --port /dev/ttyUSB0 --kernel hello.binA continuación obtenemos la línea de comandos «BIOS>» en la placa, tal y como hemos visto previamente, e introducimos el comando serialboot. Debemos señalar que podemos utilizar el teclado USB y la pantalla SVGA al mismo tiempo que la consola serie de flterm para dialogar con la BIOS.Debemos obtener los mensajes siguientes:

BIOS> serialboot[FLTERM] Received firmware download request from the device. [FLTERM] Uploading kernel (83476 bytes)... [FLTERM] Upload complete (9.5KB/s). [FLTERM] Booting the device. [FLTERM] Done.Hello World !

¡Bravo, nuestro entorno de desarrollo funciona! Para arrancar la placa de desarrollo sólo nos queda pulsar simultáneamente sobre sus tres botones y soltar primero SW3.

Para llegar más lejos...Este artículo no ha hecho más que aflorar la superficie de lo que es posible hacer. Quedan aún otros muchos campos: utilización de aceleradores gráficos existentes, digitalización de video, aceleración de otros cálculos con la ayuda de FPGAs, desarrollo de interfaces E/S específicos, otros lenguajes de programación (Lua, Ruby), Linux embebido, depuración ‘in situ’ con GDB...Enviad vuestros comentarios y sugerencias a [email protected]. Las cuestiones de orden técnico serán colocadas en la lista de difusión del proyecto [6], con el fin de que otras personas puedan responder y de que las soluciones a los problemas sean archivadas. Del mismo modo, el proyecto posee un canal IRC llamado #milky-mist, en la red Freenode.

(110447)

Figura 6. El adaptador JTAG + serie instalado en el Milkymist One. (© 2010 Sharism at Work Ltd.)

Figura 7. Imagen obtenida después de numerosas repeticiones del comando pixel[i] = x * y * x >> 5.

Personal Download for I © Elektor

63414

109elektor 7/8-2011

FPGA

Un ejemplo más detallado: utilización de la salida de vídeoAhora que hemos validado nuestro sistema de desarrollo, esta-mos listos para escribir un programa algo más complicado. ¿Por qué no un poco de programación gráfica utilizando la salida VGA?

RTEMS proporciona para ello un interfaz parecido al framebuffer de Linux, es decir, que crea un fichero en el directorio /dev sobre el que son posibles las operacio-nes POSIX (apertura, lectura, escritura, «ioctl»). El efecto de algunas de estas operaciones es idéntico al de las de Linux, lo que puede facilitar la exportación de funciones.

El primer problema es el de activar el controlador de vídeo. En nuestro primer ejemplo «Hello World», no hemos espe-cificado ninguna configuración RTEMS y ha sido la configuración por defecto la usada, que no contiene el controlador de vídeo. La configuración del RTEMS se hace con una serie de #define y con la inclu-sión de <rtems/confdefs.h>. Para añadir el controlador de vídeo basta con definir CONFIGURE_APPLICATION_NEEDS_FRAME_BUFFER_DRIVER. Por desgracia, si utilizamos nuestra propia configuración en lugar de la de por de-fecto, necesitamos también especificar la configuración de las otras funcionalidades del RTEMS, y ese es el motivo de por qué el final del programa es tan largo.

A continuación, podemos abrir el fichero /dev/fb en nuestra aplicación. La prime-ra cosa a hacer es la de definir el modo de vídeo a usar. Eso se hace con una llamada a la función ioctl. Elegiremos la reso-lución 1024 x 768, de 16 bits por píxel. El modo de color es RGB565, es decir, que los cinco primeros bits (de mayor peso), están destinados al rojo, los seis siguien-tes al verde y los cinco últimos (de menor peso) al azul.

Por último, obtendremos, gracias a otra llamada a ioctl, la dirección de memo-ria del “buffer” de trama (o “framebu-ffer”). Por lo tanto, nos basta con escribir en esta zona de memoria para poder visualizar los píxeles. Las 1024 primeras palabras de 16 bits se corres-ponden con la primera línea mostrada (en lo alto de la pantalla). Las 1024 siguientes corresponden a la segunda línea y, así, sucesivamen-te. De forma general, el píxel de las coordenadas (x,y) se encuentra en la dirección de memoria 1024 * y + x, en la zona de memoria.

Todo esto nos da como resultado del programa siguiente:

Lo compilamos y lo verificamos como hemos visto anteriormente. Si utilizamos QEMU, debemos desactivar la opción «-nographic».

El valor x * y * x >> 5, que afecta a cada pixel, da la imagen de la Figura 7.

#include <rtems.h> #include <bsp.h> #include <sys/ioctl.h> #include <sys/types.h> #include <sys/stat.h> #include <fcntl.h> #include <rtems/fb.h>

rtems_task Init(rtems_task_argument argument) int fd; struct fb_fix_screeninfo fb_fix; unsigned short *pixels; int x, y; int offset; fd = open(«/dev/fb», O_RDWR); ioctl(fd, FBIOSETVIDEOMODE, 2); ioctl(fd, FBIOGET_FSCREENINFO, &fb_fix); pixels = (unsigned short *)fb_fix.smem_start; offset = 0; for(y=0;y<768;y++) for(x=0;x<1024;x++) pixels[offset++] = x*y*x >> 5; while(1);

#define CONFIGURE_APPLICATION_NEEDS_CLOCK_DRIVER #define CONFIGURE_APPLICATION_NEEDS_CONSOLE_DRIVER #define CONFIGURE_APPLICATION_NEEDS_FRAME_BUFFER_DRIVER #define CONFIGURE_MAXIMUM_DRIVERS 4 #define CONFIGURE_USE_IMFS_AS_BASE_FILESYSTEM #define CONFIGURE_EXECUTIVE_RAM_SIZE (16*1024*1024) #define CONFIGURE_LIBIO_MAXIMUM_FILE_DESCRIPTORS 4 #define CONFIGURE_MAXIMUM_TASKS 2 #define CONFIGURE_TICKS_PER_TIMESLICE 3 #define CONFIGURE_MICROSECONDS_PER_TICK 10000 #define CONFIGURE_RTEMS_INIT_TASKS_TABLE #define CONFIGURE_INIT_TASK_STACK_SIZE (8*1024) #define CONFIGURE_INIT_TASK_PRIORITY 100 #define CONFIGURE_INIT_TASK_ATTRIBUTES 0 #define CONFIGURE_INIT_TASK_INITIAL_MODES \ (RTEMS_PREEMPT | RTEMS_NO_TIMESLICE | RTEMS_NO_ASR | \ RTEMS_INTERRUPT_LEVEL(0)) #define CONFIGURE_INIT #include <rtems/confdefs.h>

Personal Download for I © Elektor

63414

110 7/8-2011 elektor

INFO & ENTRETENIMIENTO

Los ganadores

La solución del Hexadoku de la edición de Mayo es: CD604

El ganador del cheque-regalo de Elektor de 100 € es:Vladimir Keyashko (Rusia).

Los 3 cheques-regalo de Elektor, de 50 € cada uno, son para:R Fleischmann (USA), Peter van Dijk (Holanda) y Michael Reimann (Alemania).

¡Enhorabuena a todos!

HexamuraiRompecabezas

para electrónicos

El Hexamurai es una cuadrícula de Hexadoku basada en el modelo de Samurai, es decir, cuatro cuadrículas de Hexadoku clásicas, con una quinta que está en el centro. A diferencia del juego normal de Samurai, el Hexamurai no permite la resolución de las cuadrículas por separado, debemos resolver todo el conjunto respetando, cuadrícula por cuadrícula, las reglas del Hexadoku. Las instrucciones para la resolución de este juego son las de un Sudoku clásico (¡algo modificadas!). Al  igual que el Hexadoku, el Hexamurai utiliza las cifras del sistema hexadecimal, es decir, del 0 a la F. Debemos completar la cuadrícula de forma que todas las cifras hexadecimales del 0 a la F (0 a 9 y A a F) sean utilizadas una sola y única vez en cada fila, columna y cuadrado de celdas de 4 x 4 (identificadas por líneas más gruesas) de un sub-Hexadoku. Algunas cifras ya están colocadas en la cuadrícula, definiendo así su situación partida. La solución de este rompecabezas os permitirá ganar atractivos premios. Basta con que nos enviéis la serie de seis cifras en gris.

¡Participa y gana!Haremos un sorteo en el que entrarán todas las respuestas internacionales correctas que hayamos recibido. El ganador del primer premio recibirá un cheque-regalo de Elektor de un valor de 100 €. Sortearemos también otros 3 cheques-regalo de Elektor de un valor de 50 €  cada uno.  ¡Haced trabajar vuestras neuronas!

Diseñador del juego: Géry Szczepanski (Francia)

Como manda la tradición, os proponemos un juego fuera de lo normal en este número doble. Esta vez se

trata de un Hexadoku Samurai, Hexamurai para los amigos, un montaje de cinco cuadrículas que exige un

poco más de reflexión que un simple Hexadoku.

¿Seriáis capaces de colocar las cifras correctas en las celdas correspondientes? Enviad vuestra solución y

podréis ganar uno de los cuatro regalos que Elektor ha dispuesto para sus lectores.

F 0 1 7 E B 2 C 6 D 9 4 8 A 3 5

3 C D 6 0 4 7 8 1 2 5 A F B 9 E

4 2 E 5 9 3 A D 7 8 B F 6 C 1 0

8 9 A B F 5 1 6 C E 3 0 4 7 D 2

0 3 5 C 1 6 B E 8 4 7 D 2 9 A F

6 4 9 F 7 8 5 0 E B A 2 3 D C 1

D 1 7 8 A 2 C 3 F 9 6 5 E 0 4 B

A B 2 E D F 4 9 3 0 C 1 5 6 7 8

B 5 C 1 8 A D 2 9 3 F 7 0 E 6 4

7 8 4 2 3 C E 1 A 5 0 6 9 F B D

9 F 3 A B 0 6 4 D C 8 E 1 5 2 7

E 6 0 D 5 7 9 F 2 1 4 B A 3 8 C

5 D B 3 2 E F A 4 6 1 C 7 8 0 9

C 7 F 9 4 1 3 5 0 A D 8 B 2 E 6

1 E 6 0 C 9 8 B 5 7 2 3 D 4 F A

2 A 8 4 6 D 0 7 B F E 9 C 1 5 3

Personal Download for I © Elektor

63414

111elektor 7/8-2011

INFO & ENTRETENIMIENTO

0 1 7

2 1 3 5 B 4

0 2

4 5 9 A 1 E

3 C 1 6 5 4 0

1 2 3 6

9 0 4 2 5

2 5 7 0 3 D 1

5 2 0 3 A B 0 3 7 6

4 8 1 9 E 4 2 0 6 3

7 4 F 5 0 9 7 2 8 E 1 4

6 0 C 3 6 7 0 5 3 2 1

A 1 4 6 3 7 A D 4 8

E 5 B 9 5 A

8 5 2 6 F 8 2 1 4 3

5 F 3 9 1 B E D 3 0 E 2

3 1 7 B 5 2 B 6 4

C 4 B 9 4 0 1 7 8

2 8 C 1 3 5 0

0 4 7 5 6 5 1 3

0 3 6 4 1 E A 6 7 3 9

1 2 8 4 9 6 A 3 2 4 5 0

4 5 1 7 3 1 5

6 5 8 9 1 8 5 0

3 9 0 2

5 8 0 4 2 6 3 E 7

5 3

2 7 C 4 0

6 5 3 4 0 7 2 9 A 1

7 1 6 3

4 B 0

0 2

¿Dónde enviarlo?Por favor, envíanos tu solución (los números de las casillas grises) por correo electrónico a [email protected] – Asunto: hexadoku 6-2011 (por favor, cópialo exactamente). Incluye en el correo tu nombre completo y tu dirección.

También puedes enviar tu respuesta por correo ordinario a: Redacción Elektor - Apdo. Correos 62011 – 28042 Madrid (España), o al fax +34 911019396.La fecha límite es el 1 de septiembre de 2011.

Los empleados de Elektor International Media, sus empresas subsidiarias y/o editoriales asociadas no podrán participar en este concurso.

(100878)

Personal Download for I © Elektor

63414

112 07/08-2011 elektor

TIENDA LIBROS, CD-ROMs, DVDs, KITS Y MÓDULOS

Precios y descripciones sujetos a cambios.

Pisando Fuerte¡Un mundo de electrónicaen una única tienda!

Fully elaborated electronics projects

309 CircuitsThe present tenth edition of the popular

‘30x Circuits’ series of books once again

contains a comprehensive variety of cir-

cuits, sub-circuits, tips and tricks and de-

sign ideas for electronics. Among many

other inspiring topics, the following cat-

egories are well presented in this book:

test & measurement; RF (radio); comput-

ers and peripherals; audio & video; hobby

and modelling; microcontrollers; home &

garden; etcetera.

432 pages • ISBN 978-0-905705-69-9

£19.95 • US$ 39.95

Principios y Práctica

Lib

ros

LabWorX: Del Laboratorio a tu cerebro directamente

Mastering the I²C BusLabWorX es una colección de libros cada uno de los cuales trata un tema particular de la electróni-

ca. Cada volumen condesa toda la información, aplicaciones y notas recogidas durante el trabajo

con la tecnología a la que se refi ere, todo en un solo libro. Además de los fundamentos de la tecno-

logía, se facilitan ejemplos y aplicaciones en profundidad así como sugerencias de para resolver los

problemas que pueden surgir y como proceder si aparecen fallos en la implementación inicial.

Mastering the I²C Bus es el primer libro de la colección LabWorX. Este libro (en inglés) te guía en un

viaje exploratorio por el Bus I2C y sus aplicaciones. Además del protocolo del Bus se presta mucha

atención a las aplicaciones prácticas y al diseño de un sistema sólido. Se tratan con detalle los tipos

más comunes de chips compatibles con I2C. Hay disponibles dos placas de experimentación que

te permitirán el desarrollo rápido de prototipos. Estas placas se completan con una sonda USB a I2C

y un sistema de software para controlar dispositivos I2C desde tu ordenador. Todos los programas

de ejemplo se pueden descargar desde la página de soporte www.elektor.es/labworx.

248 páginas • ISBN 978-0-905705-98-9 • 34,50 €

Nuevo

Oferta por tiempo limitado

para suscriptores:

5 € DE DESCUENTO

www.elektor.es/julio

Una introducción a la ingeniería de control

Introduction to Control EngineeringEste libro (en inglés) pretende ser una

fuente de referencia para el hardware y

software asociado a la instrumentación e

ingeniería de control. Se presentan ejem-

plos de un amplio rango de industrias y

aplicaciones. En el libro se describen

diagramas de circuito y listados de soft-

ware típicos de muchas aplicaciones de

medida y control. Los diseños de hard-

ware y software se pueden usar como

base para las aplicaciones creadas por el

lector. El libro contiene ejemplos de pro-

gramación de PIC, PLC, PAC y PC. El código

de los ejemplos se puede descargar gra-

tuitamente desde la web de soporte.

164 páginas • ISBN 978-0-905705-99 -6 • 32,50 €

Segunda edición ampliada: con 180 páginas más

Design your own Embedded Linux Control Centre on a PCEste libro (en inglés) te introducirá en los

conceptos básicos de la instalación del en-

torno Linux – incluido un entorno de desa-

rrollo software – y cómo puedes utilizarlo

como un centro de control. También te

guiará a través necesario proceso de insta-

lación y confi guración de un servidor web,

que será el interfaz con tu centro de con-

trol. Esta segunda edición ampliada con-

tiene alrededor de 180 páginas más que la

primera

416 páginas • ISBN 978-1-907920-02-8 • 39,50 €

ELEK ES1107 shop.indd 112 25-05-2011 10:34:28

Personal Download for I © Elektor

63414

113elektor 07/08-2011

Más Información en la página web de Elektor:

www.elektor.esElektor International Media Spain, S.L.Apartado de Correos 6201128042 MadridEspañaTel.: +34 91 101 93 95Fax: +34 91 101 93 96Email: [email protected]

Una guía muy práctica

Linux – PC -based Measurement ElectronicsEste libro (en inglés) pretende ser una guía

muy práctica para aquellos que desean

construir sistemas de medida y control

para ser controlados, de forma local o re-

mota, por un ordenador personal con el

sistema operativo Linux. Los dos aspectos,

hardware y software, del diseño típico de

sistemas embebidos están cubiertos en

detalle con esquemas, listados de código

y descripciones completas. No es necesa-

rio adquirir equipamiento especial o caras

herramientas de software para crear los

proyectos embebidos descritos en este

libro. Puedes construir sistemas de calidad

rápidamente empleando componentes

electrónicos populares de bajo coste y

herramientas software gratuitas o de

bajo precio. Muchos proyectos de este

libro se han desarrollado con Matlab®, una

herramienta ampliamente utilizada en la

investigación científica e ingeniería. Se

facilita el código fuente completo y bien

documentado para todos los proyectos.

264 páginas • ISBN 978-1-907920-03-5 • 34,50 €

CD

-ROM

s y DV

Ds

Bestseller

Fundamentos des lenguaje Ensamblador

Assembly Language EssentialsEste libro (en inglés) es de hecho una guía

de Ensamblador que te introducirá en el

lenguaje de programación más fundamen-

tal de un procesador. Al contrario que otros

recursos sobre Ensamblador que se centran

exclusivamente en un procesador y una pla-

taforma específi cos, este libro emplea la

arquitectura de un procesador fi cticio con

su hardware y conjunto de instrucciones

propio. Esto te permite considerar la

importancia del lenguaje Ensamblador

sin tener que enfrentarte un hardware

o restricciones arquitectónicas predeter-

minadas.

262 páginas • ISBN 978-0-9630133-2-3 •34,50 €

Todos los artículos de la serie ATM18-CC2 y más

CD ATM18 CollectionEn este CD-ROM, ideal para principiantes y

usuarios experimentados, encontrarás una

recopilación de todos los artículos de la

serie ATM18-CC2 publicados en la revista

Elektor; desde el Lector RFID al Bluetooth

pasando por el ordenador de que juega al

ajedrez. En total, más de 25 proyectos

basados en la popular placa ATM18 de

Elektor. Además de los archivos PDF de los

artículos (en cinco idiomas), el CD contiene

el software de cada uno de los proyectos,

los diseños de las placas en formato PDF,

el curso de programación Bascom AVR y

documentación complementaria.

ISBN 978-0-905705-92-7 • 29,50 €

Completamente actualizado

CD ECD 6 – Base de datos de Componentes ElektorEste CD-ROM te permite acceder fácilmen-

te a los datos sobre más de 70.000 compo-

nentes. Consta de ocho bases de datos que

comprenden circuitos integrados, transis-

tores, diodos y optpacopladores. Incluye

once aplicaciones de cálculo de, por ejem-

plo, resistencia serie de diodos zener, regu-

ladores de tensión y AMV’s. ECD facilita el

acceso a los datos unos de 7.800 circuitos

integrados, más de 35.600 transistores,

FET, tiristores y triacs, cerca de 25.000 dio-

dos y 1.800 optoacopladores. Todas las apli-

caciones son totalmente interactivas,

permitiendo al usuario añadir, editar y com-

pletar los datos de los componentes.

ISBN 978-90-5381-258-7 • 29,50 €

Visual Studio

C# 2010 ProgrammingEste libro (en inglés) está dirigido a todos

aquellos que quieran aprender programa-

ción en C# y conexión a un PC. Los concep-

tos de programación abarcan desde los

conceptos básicos de programación orien-

tada a objetos y la presentación de gráfi cos

hasta bases de datos y threading. El libro se

completa con múltiples programas de

ejemplo completos, ejercicios de autoeva-

luación y enlaces a videos de apoyo. Todo el

código fuente de los ejemplos está disponi-

ble para su descarga desde www.elektor.es.

Se pueden descargar herramientas soft-

ware de Microsoft de calidad profesional.

306 páginas • ISBN 978-0-905705-95-8 • 36,50 €

Bestseller

ELEK ES1107 shop.indd 113 25-05-2011 10:34:36

Personal Download for I © Elektor

63414

114 07/08-2011 elektor

TIENDA LIBROS, CD-ROMs, DVDs, KITS Y MÓDULOS

Ú

Precios y descripciones sujetos a cambios.

Kits y M

ód

ulos

Pico C(Abril 2011)

Los afi cionados a la RF y a la reparación de

radio probablemente ya lo saben, pero

cuando se trata de mediciones por debajo

de 200 pF o menos, los multímetros digi-

tales modernos dan resultados bastante

desviados si no ridículos. El propósito del

diseño Pico C de Elektor es hacer un traba-

jo mucho mejor. Superando a muchos

DMMs, este pequeño instrumento mide

fácilmente y con precisión capacidades

bajas de hasta fracciones de picofaradio.

Kit de componentes incluyendo Elektor Project Case, microcontrolador programado, LCD y PCB

Art.# 100823-71 • 82,50 €

CD

-RO

Ms

y D

VD

s

OBD-2 inalámbrico(Abril 2011)

La solución más asequible para realizar un

diagnóstico en un automóvil es un interfaz

OBD-2 con conexión a un PC (o portátil)

y el software adecuado. Pero a veces una

solución por cable no resulta realmente

práctica. Un tester OBD autónomo no obs-

tante es demasiado caro y no tan versátil

como el programa de diagnóstico de un

PC. Una alternativa interesante es un

interfaz OBD con conexión inalámbrica

al PC. Con la solución casera que aquí

presen tamos incluso tiene la opción de

elegir entre Bluetooth y ZigBee.

Kit completo OBD2-Interfaz Zigbee (stick USB incluyo) o Bluetooth, placa con SMD montados y caja

Art.# 100872-71 • 124,95 € (Zigbee)

Art.# 100872-72 • 124,95 € (Bluetooth)

SatFinder(Marzo 2011)

Quien tiene que orientar regularmente

una antena vía satélite (por ejemplo en una

caravana o barco que dispongan de ella),

siempre se enfrenta al problema de dar

con la posición de los satélites. El SatFin-

der, basado en GPS, incluye una base de

datos con los satélites de TV favoritos y cal-

cula gracias a los parámetros de localiza-

ción de un GPS todo lo necesario para

orientar correctamente la antena.

Kit de montaje incluyendo controlador programado, display y tarjeta (versión Europea)

Art.# 100699-71 • 79,95 €

NetWorker(Diciembre 2010)

Una conexión a internet debería ser un va-

lor añadido a muchos proyectos pero, a

menudo, los diseñadores no la implemen-

tan debido a las complejidades que conlle-

va. El “NetWorker”, que consiste en una

pequeña placa de circuito impreso, una bi-

blioteca de programas gratuitos y un ser-

vidor web basado en microcontrolador

listo para ser usado, soluciona estos pro-

blemas y permite a los principiantes añadir

una conexión Internet a sus proyectos. Los

usuarios más experimentados se benefi -

ciarán de prestaciones tales como comu-

nicaciones SPI, alimentación sobre

Ethernet (PoE) y más.

Módulo NetWorker, ya montado y verifi cado

Art.# 100552-91 • 59,95 €

BestsellerRFID, NFC, Zigbee, GPS y más

DVD Wireless ToolboxEn este DVD-ROM encontrarás una serie de

documentos técnicos y herramientas que te

permitirán añadir a tus sistemas electróni-

cos el intercambio inalámbrico de datos. La

elección del material depende de la distan-

cia de la transmisión: para pocos centíme-

tros empleamos comunicaciones de campo

cercano (NFC o Near Field Communication)

o identifi cación por radiofrecuencia (RFID o

Radio Frecuency Identifi cation), para las de-

cenas de metros con sistemas Bluetooth,

Wi-Fi o ZigBee, o para miles de kilómetros

emplearemos un módulo GPS para recibir

datos. Siguiendo los principios de nuestra

serie Toolbox, hemos recopilado la docu-

mentación técnica (hojas de características,

notas de aplicación, guías de usuario, etc.)

de diversos dispositivos en función de la fre-

cuencia y/o protocolo empleados. Todos los

documentos son archivos PDF (en inglés).

ISBN 978-90-5381-268-6 • 32,50 €

Todos los artículos del año 2010

DVD Elektor 2010El DVD-ROM “volumen anual” se encuen-

tra entre los productos más populares de

Elektor. El DVD Elektor 2010 contiene to-

dos los artículos editoriales publicados en

el Volumen 2010 de las publicaciones en

español, inglés, holandés, francés y ale-

mán de la revista Elektor. Con Adobe Read-

er los artículos se presentan en el mismo

formato que la publicación original de la

revista. Se dispone de una máquina de

búsqueda exhaustiva para localizar pala-

bras clave en cualquier artículo.

ISBN 978-90-5381-267-9 • 27,50 €

ELEK ES1107 shop.indd 114 25-05-2011 10:34:41

Personal Download for I © Elektor

63414

115elektor 07/08-2011

Haga su pedido “online” enwww.elektor.es/tiendao utilice el cupón de pedido del final de la revista

Elektor International Media Spain, S.L.Apartado de Correos 6201128042 MadridEspañaTel. +34 91 101 93 95Fax +34 91 101 93 96Email: [email protected]

Los más vendidos

Bo

oks

Kit

s &

Mo-

CD

-RO

Ms

Últ

imos

Pro

du

ctos

Precio (€)

Lib

ros

Kit

s y

Mód

ulos

1

2

3

4

5

1

2

3

4

5

1

2

3

4

5

CD

-RO

Ms

y D

VD

s

Linux – PC-based Measurement ElectronicsISBN 978-1-907920-03-5 ................... 34,50 €

Design your own

Embedded Linux control centre on a PCISBN 978-1-907920-02-8 .................... 39,50 €

Assembly Language EssentialsISBN 978-0-9630133-2-3 .................... 34,50 €

Introduction to Control EngineeringISBN 978-0-905705-99-6 .................... 32,50 €

C# 2010 Programming and PC interfacingISBN 978-0-905705-95-8 ................... 36,50 €

CD ECD 6ISBN 978-90-5381-258-7 ..................... 29,50 €

DVD Elektor 2010ISBN 978-90-5381-267-9 .................... 27,50 €

CD ATM18 CollectionISBN 978-0-905705-92-7 ..................... 29,50 €

DVD Wireless ToolboxISBN 978-90-5381-268-6 ..................... 32,50 €

DVD Elektor desde 1998 a 2007ISBN 978-90-5381-241-9 ................... 59,00 €

Pico CArt.# 100823-71 ..................................82,50 €

NetWorker Art.# 100552-91 ..................................59,95 €

OBD-2 inalámbricoArt.# 100872-71/72 .......................... 124,95 €

SatFinder Art.# 100699-71 ................................. 79,95 €

Minimod 18Art.# 090773-91 ..................................62,95 €

ód

l

Julio/Agosto 2011 (N° 373/374)

+ + + N u evos P r o d u c t os d e J u l i o / A g os t o: V i s i t a w w w. el ek t o r. e s + + +

Junio 2011 (N° 372)

Elektor OSPV¹

110320-91 .....Kit ............................................................................................ 1095,00

E-blocks: Flowcode RC5

EB007 .............Placa E-block de conmutadores .................................................... 17,85 EB058 ..........Display gráfi co color ..................................................................... 81,85 EB060 ..........Placa E-block Infrarrojo RC5 .......................................................... 37,20EB064 ..........Multiprogramador E-block dsPIC/PIC24 ...................................... 119,00

¡Que viene el bus! (6)

110258-91 .....Módulo Convertidor USB/RS485 .................................. 24,95

Seguimiento GPS con ATM18

071035-91 .....Placa, parcialmente montada (módulo controlador ATM18) .......... 12,50071035-92 .....Placa, parcialmente montada (módulo ATM18-Testboard) ............ 37,50071035-93 .....Placa con SMD montados, todos los componentes y conectores .... 29,95

Mayo 2011 (N° 371)

El Robot Proton de Elektor

110263-71 .....Kit Completo (Cuerpo + Cabeza + Audio + Pinza + Placa PIC) ...... 1249,00110263-72 .....Kit Completo (Cuerpo + Cabeza + Audio + Pinza + Placa AVR) ..... 1249,00 110263-78 .....Placa adicional con PIC montada y comprobada ............................ 39,00110263-79 .....Placa adicional con AVR montada y comprobada ........................... 39,00110263-91 .....Totalmente montado y comprobado, con PIC ........................... 1699,00110263-92 .....Totalmente montado y comprobado, con AVR .......................... 1699,00

Regulador de Intensidad de Luz DMX512 de 1 canal

EB006 .............Multiprogramador PIC E-block .................................................... 115,95TEFLCST4 Flowcode 4 para PICmicro E-block ....................................................... 61,50

¿Hola? ¿Puedes oírnos?

100465-1 .......Placa de circuito impreso ................................................................ 9,95

GSM, SMS, CALL IDentifi cación

071035-72 .....Placa de relés con todos los compoenntes y relés ........................... 49,95071035-91 .....Placa, parcialemente montado (módule controlador ATM18) ........ 12,50071035-92 .....Placa, parcialemente montado (módule ATM18-Testboard) .......... 37,50071035-93 .....Placa con SMD montados, todos los componentes y conectores .... 29,95071035-95 .....Placa de extensión de puertos, con SMD montados ....................... 16,95

Abril 2011 (N° 370)

ATM18, estamos bien con RS-485

071035-72 .....Placa de relés con todos los compoenntes y relés ........................... 49,95071035-91 .....Placa, parcialemente montado (módule controlador ATM18) ........ 12,50071035-92 .....Placa, parcialemente montado (módule ATM18-Testboard) .......... 37,50071035-93 .....Placa con SMD montados, todos los componentes y conectores .... 29,95 071035-95 .....Placa de extensión de puertos, con SMD montados ....................... 16,95080213-71 .....Cable conversor de USB a TTL 5V ................................................... 24,50

OBD-2 inalámbrico

100872-71 .....Kit completo OBD2-Interfaz Zigbee, placa con SMD montados, caja y stick USB Zigbee ....................... 124,95100872-72 .....Kit completo OBD2-Interfaz Bluetooth, placa con SMD montados y caja .................................................. 124,95

Pico C

100823-1 .......Placa de circuito impreso ................................................................ 9,95 100823-41 .....Microcontrolador programado ATTINY2313-20PU ......................... 9,95100823-71 .....Kit de componentes incluyendo Elektor Project Case, microcontrolador programado y PCB ............................................ 82,50

Marzo 2011 (N° 369)

SatFinder

100699-1 .......Placa de Circuito Impreso ............................................................. 12,95100699-41 .....Microcontrolador programado ATMEGA8A-PU versión europea ...... 9,95 100699-42 .....Microcontrolador programado ATMEGA8A-PU versión USA ............ 9,95 100699-71 .....Kit de componentes versión europea ............................................ 79,95100699-72 .....Kit de componentes versión USA................................................... 79,95

Miniservidor de Internet con BASCOM-AVR

090773-91 .....Minimod 18.................................................................................. 62,95

Una guirnalda de 160 LED RGB

100743-1 .......Placa de Circuito Impreso ............................................................. 12,90071035-91 .....Placa, parcialemente montado (módule controlador ATM18) ...... 12,50071035-92 .....Placa, parcialemente montado (módule ATM18-Testboard) .......... 37,50071035-93 .....Placa con SMD montados, todos los componentes y conectores .... 29,95

ELEK ES1107 shop.indd 115 25-05-2011 10:34:48

Personal Download for I © Elektor

63414

116 7/8-2011 elektor

INFO & MERCADO PRÓXIMO MES ElEktOR

Índice de Anuncianteswww.elektor.es www.elektor.es www.elektor.es www.elektor.es www.elektor.es www.elektor.es

Cebek www.cebek.com Pág. 27, 33 y 120

Eltim Audio www.moreleurope.com Pág. 9

Eurocircuits www.eurocircuits.com Pág. 97

Hameg www.hameg.com Pág. 116

Labcenter www.labcenter.com Pág. 11

Microchip www.microchip.com Pág. 17

Mikro Elektronika www.mikroe.com Pág. 3 y 23

National Instruments www.ni.com/es Pág. 2

los títulos y los contenidos de la revista están sujetos a modificación; por favor, verifica el contenido en “revista” en www.elektor.es

Contacto Teléfono: +34 911 019 395Fax: +34 911 019 396E mail: [email protected]

Elektor tiene una sección para ayudar a sus clientes a promocionar sus negocios, Mercado – una sección permanente de la revista donde puede mostrar sus productos y servicios.

VentasMercadoPrecio por 1 año/11 ediciones:

- 1 módulo, 20 mm x 45 mm, 350,00 €

- 2 módulo, 40 mm x 45 mm, 575,00 €

- 3 módulo, 60 mm x 45 mm, 750,00 €

• Por favor, suministre el material gráfico

con una resolución mínima de 300 dpi

• Nosotros podemos encargarnos del

diseño por usted

scopes and more

Great Value inTesT & MeasureMenT

Placa de Experimentador DSP En la edición de Septiembre de 2011 presentaremos el hardware que forma parte de el curso de DSP que iniciamos en la edición de Mayo. En el corazón de la placa universal de experimentación encontramos el chip DSP56374 de Freescale. Los dispositivos hardware en la placa incluyen convertidores A/D y D/A, convertidor de frecuencia de muestreo y entradas y salidas ópticas. La placa no solo se puede utilizar para los proyectos de ejemplo que se describirán en el curso, además podrás usarla para tus propias iniciativas de diseño y tus experimentos.

Data LoggerEste circuito fue desarrollado originalmente para grabar datos meteorológicos como la temperatura, humedad y presión atmosférica durante mucho tiempo. Consecuente-mente el circuito está dotado de los sensores necesarios. Gracias a su diseño universal, se puede usar también para muchas otras medidas. Se puede conectar a un PC mediante un cable USB para enviarle los datos registrados así como para ajustar varios parámetros.

Radio Control de 2.4 GHz para AeromodelosEl sistema de transmisor y receptor para aeromodelos utiliza la banda de radio ISM de 2.4 GHz y la tecnología ZigBee. Ofrece ocho canales proporcionales y un radio de acción que excede el kilómetro. El sistema permite la alimentación mediante baterías. Todos los pará-metros se muestran claramente en la pantalla y hay también disponibles varios puertos de comunicación (UART, CAN, I2C).

Personal Download for I © Elektor

63414

Índice de Anuncianteswww.elektor.es www.elektor.es www.elektor.es www.elektor.es www.elektor.es www.elektor.es

Cupó

n de

ped

ido

Cupó

n de

ped

ido

07/0

8-20

1107

/08-

2011

Susc

ripci

ónLabWorx – Mastering the I²C Bus 34,50 y

Linux – PC-based MeasurementElectronics 34,50 y

Assembly Language Essentials 34,50 y

Design your own Embedded Linux Control Centre on a PC 39,50 y

CD-ROM ECD 6 29,50 y

DVD Elektor 2010 27,50 y

Descripción Precio Cant. Total y

Sub-total

Gastos envio

Total

Por favor envíen este pedido a:

Elektor International Media Spain, S.L.Apartado de Correos 6201128042 MadridEspaña

Tel.: +34 91 101 93 95

Fax: +34 91 101 93 96

www.elektor.es

[email protected]

Los precios y las descripciones están sujetas a cambio. La editorial se reserva el derecho de cambiar los precios sin notificación previa. Los precios y las descripciones aquí indicadas anulan las de los anteriores números de la revista.

EL07/08

Nombre

Domicilio

Tel. Email

Fecha – – Firma

* Oferta válida para quienes no hayan tenido una suscripción a Elektor durante los últimos 12 meses. Oferta sujeta a disponibilidad. Te enviaremos tu regalo una vez que hayas abonado la nueva suscripción.

Sí, quiero suscribirme a Elektor y recibir gratuitamente el E-book Microcontroller Basics.*

Mi suscripción será:

Suscripción Estándar 11 Números (incl. julio/agosto número doble)

Suscripción PLUS11 Números (incl. julio/agosto número doble) + el DVD-ROM Elektor Volumen 2011 + acceso exclusivo a www.elektor-plus.es

FORMA DE PAGO(vea la página contigua para más detalle)

Transferancia bancaira A Elektor International Media Spain, S.L.

Número de cuenta LA CAIXA: 2100 1135 64 0200152440 (Importante: poner nombre, apellidos y provincia del remitente)

Fecha de caducidad: ________________________

CVC: __________________________________

8,50 y

EL07/08

Nombre

Domicilio

Tel. Email

Fecha – – Firma

Por favor envíen este pedido a:

Elektor International Media Spain, S.L.Apartado de Correos 6201128042 MadridEspaña

Tel.: +34 91 101 93 95

Fax: +34 91 101 93 [email protected]

FORMA DE PAGO(vea la página contigua para más detalle)

Transferancia bancaira A Elektor International Media Spain, S.L.

Número de cuenta LA CAIXA: 2100 1135 64 0200152440 (Importante: poner nombre, apellidos y provincia del remitente)

Fecha de caducidad: ________________________

CVC: ___________________________________

RECOMENDADO

(Por favor, escribe tu dirección de correo en el formulario de abajo)

NUEVO

E-book

NUEVO

ELEK ES1107 Bestelkaart.indd 1 25-05-2011 10:37:03

Personal Download for I © Elektor

63414

INSTRUCCIONES DE PEDIDO, GASTOS DE ENVÍO

Todos los pedidos deberán ser enviados por correo o fax a nuestra dirección de Madrid utilizando el presente cupón. Tambien puede efectuar su pedido Online en la página: www.elektor.es/tienda.

CÓMO PAGAR

Todos los pedidos han de ir acompañados por el pago total, incluidos los gastos de envío anteriormente establecidos o indicados por el personal del Servicio de Atención al Cliente.Transferencia bancaria a la cuenta de La Caixa: 2100 1135 64 0200152440 a nombre de Elektor International Media Spain, S.L. IBAN: ES45 2100 1135 6402 0015 2440, BIC: CAIXESBBXXXImportante: indicar en la transferencia el nombre, apellidos y dirección.Tarjeta de crédito VISA y MasterCard pueden ser procesadas por correo, correo electrónico, web, fax y teléfono. Para su seguridad, los pedidos Online en nuestra web se realizan bajo la protección de conexiones seguras SSL.

COMPONENTES

Los componentes para los proyectos aparecidos en Elektor están normalmente disponibles en algunos de los anunciantes de la revista. Si se prevén dificultades en el suministro de alguno de los componentes, se indicará en el artículo algún proveedor que pueda sumini-strarlo. Sin embargo, tenga en cuenta que los porveedores indicados no tienen porque ser únicos.

TÉRMINOS Y CONDICIONES

Envío. Aunque haremos todo lo posible para que su envío sea despachado en el plazo de 2-3 semanas desde su recepción, no podemos garantizar este plazo para todos los pedidos. Devoluciones. Las mercancías defectuosas o las enviadas erróneamente pueden ser devueltas para su susti tución o reembolso pero, para ello, es necesario tener previamente nuestro consentimiento. Todas la mercancías devueltas deben estar empaquetas de manera segura en bolsa acolchada o caja, adjuntando una carta en la que se indique claramente el número de envío. Si las mercancías son devueltas por un error de nuestra parte, le reembolsaremos el porte de devolución. Mercancías dañadas. La reclamaciones por mercancías dañadas deben ser recibidas en nuestra dirección de Madrid en un plazo de 10 días (España), 14 días (Europa) o 21 días (resto del mundo). Pedidos cancelados. Todos los pedidos cancelados estarán sujetos a un cargo del 10% con un mínimo de 5,00 w. Patentes. Puede existir protección bajo patente de los circuitos, dispositivos, componentes y otras cosas descritas en nuestros libros y revistas. Elektor no acepta respon sabilidad alguna por ausencia de determinación de tales patentes u otras protecciones. Derechos de autor (Copyright). Todos los dibujos, fotografías, artículos, placas de circuito impreso, circuitos integrados programados, CD-ROM o portadores de software publicados en nuestros libros y revistas (diferentes de los anunciados por terceros) están protegidos por derechos de autor y no pueden ser reproducidos o difundidos total o parcialmente de ninguna manera ni por ningún medio, incluidos las fotocopias y grabaciones, sin el previo consentimiento por escrito de Elektor. Dicho consentimiento escrito es también necesa-rio antes de que cualquier parte de esas publicaciones sea almacenado en sistemas de recuperación de cualquier naturaleza. No obstante lo dispuesto anteriormente, las placas de circuito impreso se pueden fabricar para uso privado y personal sin necesidad del citado permiso. Limitación de respon sa bilidad. Elektor no será responsable por contrato, agravio o cualquier otra circunstancia, de cualquier pérdida o daño sufrido por el comprador sea cual sea o se deriven de, o en conexión con, el suministro de mercancías descritas o, a opción de Elektor, reembolsar el importe al comprador de cualquier dinero pagado en relación con los productos. Derecho. Cualquier asunto relacionado con el suministro de mercancías y servicios por Elektor estará determinado en todos sus aspectos por las leyes españolas.

CÓMO PAGAR

Transferencia bancaria a la cuenta de La Caixa: 2100 1135 64 0200152440 a nombre de Elektor International Media Spain, S.L. IBAN: ES45 2100 1135 6402 0015 2440BIC: CAIXESBBXXXImportante: indicar nombre, apellidos y dirección en la transferencia.

Tarjeta de crédito VISA y MasterCard pueden ser procesadas por correo, correo electrónico, web, fax y teléfono. Para su seguridad, los pedidos Online en nuestra web se realizan bajo la protección de conexiones seguras SSL.

CONDICIONES DE LA SUSCRIPCIÓN

La suscripción estandár es de 12 meses. Si durante este plazo de suscripción se produce un cambio permanente de dirección y ello conlleva la necesidad de realizar el envío a través de un servicio más caro, no te cobraremos los gastos adicionales. En caso contrario, si el cambio de dirección nos permite contratar un servicio más barato, no te reembolsamos la diferencia y tampoco se extenderá el plazo de suscripción.

Los estudiantes pueden obtener un discuento de un 20% del precio normal de una suscripción anual siempre que esté acompañada de una fotocopia del carnet de estudiante. Por favor envíalo por fax (+34 91 101 93 96) o correo electrónico ([email protected]). Para estudiantes, el coste de la suscripción estandar es de 50,80 w y el de la suscripción plus es de 68,30 w.La suscripción para estudiante es incompatible con el regalo de suscripción. Estas promociones no son acumulables.

El plazo normal de la primera entrega, es de cuatro semanas a par-tir de la fecha de la recepción del pedido.

La cancelación de una suscripción está sujeta a un cargo de un 25% (veinticinco por ciento) del precio total o 12,50 w, o sea, la cantidad mayor, más los gastos de copias ya envíadas. No está permitido cancelar una suscripción después del sexto mes.

TARIFAS PARA SUSCRIPCIONES ANUALES

Estándar PlusEspaña 63,50 w 81,00 w

Islas Canarias 69,50 w 87,00 w

Europa 91,50 w 109,00 w

Otros países 122,50 w 140,00 w

ELEK ES1107 Bestelkaart.indd 2 25-05-2011 10:37:04

Personal Download for I © Elektor

63414

INSTRUCCIONES DE PEDIDO, GASTOS DE ENVÍO

Todos los pedidos deberán ser enviados por correo o fax a nuestra dirección de Madrid utilizando el presente cupón. Tambien puede efectuar su pedido Online en la página: www.elektor.es/tienda.

CÓMO PAGAR

Todos los pedidos han de ir acompañados por el pago total, incluidos los gastos de envío anteriormente establecidos o indicados por el personal del Servicio de Atención al Cliente.Transferencia bancaria a la cuenta de La Caixa: 2100 1135 64 0200152440 a nombre de Elektor International Media Spain, S.L. IBAN: ES45 2100 1135 6402 0015 2440, BIC: CAIXESBBXXXImportante: indicar en la transferencia el nombre, apellidos y dirección.Tarjeta de crédito VISA y MasterCard pueden ser procesadas por correo, correo electrónico, web, fax y teléfono. Para su seguridad, los pedidos Online en nuestra web se realizan bajo la protección de conexiones seguras SSL.

COMPONENTES

Los componentes para los proyectos aparecidos en Elektor están normalmente disponibles en algunos de los anunciantes de la revista. Si se prevén dificultades en el suministro de alguno de los componentes, se indicará en el artículo algún proveedor que pueda sumini-strarlo. Sin embargo, tenga en cuenta que los porveedores indicados no tienen porque ser únicos.

TÉRMINOS Y CONDICIONES

Envío. Aunque haremos todo lo posible para que su envío sea despachado en el plazo de 2-3 semanas desde su recepción, no podemos garantizar este plazo para todos los pedidos. Devoluciones. Las mercancías defectuosas o las enviadas erróneamente pueden ser devueltas para su susti tución o reembolso pero, para ello, es necesario tener previamente nuestro consentimiento. Todas la mercancías devueltas deben estar empaquetas de manera segura en bolsa acolchada o caja, adjuntando una carta en la que se indique claramente el número de envío. Si las mercancías son devueltas por un error de nuestra parte, le reembolsaremos el porte de devolución. Mercancías dañadas. La reclamaciones por mercancías dañadas deben ser recibidas en nuestra dirección de Madrid en un plazo de 10 días (España), 14 días (Europa) o 21 días (resto del mundo). Pedidos cancelados. Todos los pedidos cancelados estarán sujetos a un cargo del 10% con un mínimo de 5,00 w. Patentes. Puede existir protección bajo patente de los circuitos, dispositivos, componentes y otras cosas descritas en nuestros libros y revistas. Elektor no acepta respon sabilidad alguna por ausencia de determinación de tales patentes u otras protecciones. Derechos de autor (Copyright). Todos los dibujos, fotografías, artículos, placas de circuito impreso, circuitos integrados programados, CD-ROM o portadores de software publicados en nuestros libros y revistas (diferentes de los anunciados por terceros) están protegidos por derechos de autor y no pueden ser reproducidos o difundidos total o parcialmente de ninguna manera ni por ningún medio, incluidos las fotocopias y grabaciones, sin el previo consentimiento por escrito de Elektor. Dicho consentimiento escrito es también necesa-rio antes de que cualquier parte de esas publicaciones sea almacenado en sistemas de recuperación de cualquier naturaleza. No obstante lo dispuesto anteriormente, las placas de circuito impreso se pueden fabricar para uso privado y personal sin necesidad del citado permiso. Limitación de respon sa bilidad. Elektor no será responsable por contrato, agravio o cualquier otra circunstancia, de cualquier pérdida o daño sufrido por el comprador sea cual sea o se deriven de, o en conexión con, el suministro de mercancías descritas o, a opción de Elektor, reembolsar el importe al comprador de cualquier dinero pagado en relación con los productos. Derecho. Cualquier asunto relacionado con el suministro de mercancías y servicios por Elektor estará determinado en todos sus aspectos por las leyes españolas.

CÓMO PAGAR

Transferencia bancaria a la cuenta de La Caixa: 2100 1135 64 0200152440 a nombre de Elektor International Media Spain, S.L. IBAN: ES45 2100 1135 6402 0015 2440BIC: CAIXESBBXXXImportante: indicar nombre, apellidos y dirección en la transferencia.

Tarjeta de crédito VISA y MasterCard pueden ser procesadas por correo, correo electrónico, web, fax y teléfono. Para su seguridad, los pedidos Online en nuestra web se realizan bajo la protección de conexiones seguras SSL.

CONDICIONES DE LA SUSCRIPCIÓN

La suscripción estandár es de 12 meses. Si durante este plazo de suscripción se produce un cambio permanente de dirección y ello conlleva la necesidad de realizar el envío a través de un servicio más caro, no te cobraremos los gastos adicionales. En caso contrario, si el cambio de dirección nos permite contratar un servicio más barato, no te reembolsamos la diferencia y tampoco se extenderá el plazo de suscripción.

Los estudiantes pueden obtener un discuento de un 20% del precio normal de una suscripción anual siempre que esté acompañada de una fotocopia del carnet de estudiante. Por favor envíalo por fax (+34 91 101 93 96) o correo electrónico ([email protected]). Para estudiantes, el coste de la suscripción estandar es de 50,80 w y el de la suscripción plus es de 68,30 w.La suscripción para estudiante es incompatible con el regalo de suscripción. Estas promociones no son acumulables.

El plazo normal de la primera entrega, es de cuatro semanas a par-tir de la fecha de la recepción del pedido.

La cancelación de una suscripción está sujeta a un cargo de un 25% (veinticinco por ciento) del precio total o 12,50 w, o sea, la cantidad mayor, más los gastos de copias ya envíadas. No está permitido cancelar una suscripción después del sexto mes.

TARIFAS PARA SUSCRIPCIONES ANUALES

Estándar PlusEspaña 63,50 w 81,00 w

Islas Canarias 69,50 w 87,00 w

Europa 91,50 w 109,00 w

Otros países 122,50 w 140,00 w

ELEK ES1107 Bestelkaart.indd 2 25-05-2011 10:37:04

Al hacer tu suscripción Elektor PLUS

consigues acceso exclusivo a la nueva

web www.elektor-plus.es donde

están disponibles las tres últimas

ediciones de la revista Elektor en forma

de archivos pdf (la edición actual y las

dos precedentes). Con un simple clic

descargarás la edición completa (¡de

principio a fi n!) o un artículo individual.

www.elektor-plus.es ofrece también

el motor de búsqueda de Elektor más

extenso que se puede encontrar en

la web. Sin embargo la actualizada

suscripción Elektor PLUS incluye

muchos más extras interesantes,

como E-books gratuitos y artículos

complementarios.

www.elektor.es/suscripciones · Tel. +34 91 101 93 95O utiliza el formulario de suscripción que está al fi nal de la revista.

¡La actualizada suscripción Elektor PLUS!NUEVO: ¡acceso exclusivo awww.elektor-plus.es!

Las 11 ediciones completas incluido el Especial de Verano

Incluido en tu suscripción PLUS: DVD anual 2011

25% más barato que el precio normal de venta

Regalo de bienvenida valorado en 30

Hasta un 40% de descuento en productos Elektor seleccionados

Recibirás Elektor cada mes en tu casa

Lee tu ejemplar antes que nadie

NUEVO: ¡En tu web personalizada Elektor PLUS, tienes acceso permanente a las tres últimas ediciones de la revista en formato PDF así como al rápido motor de búsqueda de Elektor!

¡NUEVO!AHORA, HAY AUN MÁS POR DESCUBRIR.

elekplus_anz_A4_ES_rz.indd 1 24.02.2010 11:59:30 Uhr

Febrero 2011

N.º 368 6,50 e

ISSN 0211-397X

www.elektor.comwww.elektor.comwww.elektor.com

Adaptador Teléfono-a-VoIP

TimeClick

Geolocalización sin GPS

Manipulador CW Ultimatic

Controlador

¿Dónde estoy?

[Analógico • Digital • Microcontroladores & Embebido • Audio • Test & Medida ]

25 páginas

sobre comunicación

TimeClickControlador Controlador Controlador Controlador Controlador Controlador Controlador

La actualizada s

Manipulador CW UltimaticManipulador CW Ultimatic

Desarrolla tu propio reproductor MP3

SatFinder

SoC, PSoC & Co.Apunta tu parabólica utilizando el GPS

- ejemplos de aplicación

- iniciación

- grandes nombres

- kits de evaluación

- construye tu propio chip

Una guirnalda de 160 LEDs RGB

Mini Servido web

utilizando Bascom-AVR y Minimod

Un visualizador a todo color con el ATM 18

Maneja las listas de la compra - y más

N.º 369 6,50 e

ISSN 0211-397X

Marzo 2011 [Analógico • Digital • Microcontroladores & Embebido • Audio • Test & Medida ]

SoCs, PSoCs ...

Diseña tu propio chip

- grandes nombres

- kits de evaluación

- construye tu propio chip

¡La actualizada suscripción Elektor PLUS!NUEVO: ¡acceso exclusivo awww.elektor-plus.es!

adv Abo plus ES 110217.indd 1 17-02-11 15:43:29

Personal Download for I © Elektor

63414

Naamloos-5 1 18-05-11 08:55

Personal Download for I © Elektor

63414