Makalah Teknik Digital 1

22
MAKALAH TEKNIK DIGITAL II DEFINISI FLIP FLOP DAN JENIS JENIS FLIP FLOP ANGGOTA KELOMPOK : 1. 2. 3. 4. 5. KELAS / PRODI : JURUSAN TEKNIK ELEKTRO PROGRAM STUDI TEKNIK TELEKOMUNIKASI POLITEKNIK NEGERI JAKARTA 2015

description

makalah tekdig pak benny

Transcript of Makalah Teknik Digital 1

MAKALAH TEKNIK DIGITAL IIDEFINISI FLIP FLOP DAN JENIS JENIS FLIP FLOP

ANGGOTA KELOMPOK: 1. 2. 3. 4. 5.KELAS / PRODI :

JURUSAN TEKNIK ELEKTROPROGRAM STUDI TEKNIK TELEKOMUNIKASIPOLITEKNIK NEGERI JAKARTA2015

KATA PENGANTARPuji dan syukur yang tak terhingga saya panjatkan ke hadirat Tuhan Yang Maha Esa, atas segala rahmat, karunia, dan kasih sayangNya sehingga kami dapat menyelesaikan laporan makalah ini tepat pada waktunya. Usaha dan diiringi oleh doa merupakan dua hal yang membuat kami mampu menyelesaikan makalah ini. Selanjutnya, kami ingin menyampaikan rasa terima kasih yang tak terhingga kepada semua pihak yang membantu kelancaran makalah ini, baik berupa dorongan moril maupun materil. Karena kami yakin tanpa bantuan dan dukungan tersebut, sulit rasanya bagi kami untuk menyelesaikan penulisan laporan makalah ini. semoga makalah ini dapat dipergunakan sebagi salah satu acuan, petunjuk maupun pedoman bagi pembaca dalam mempelajari materi lebih lanjut mengenai rangkaian kombinasional, rangkaian sequensial, serta flip flop.Penulisan laporan makalah yang berjudul ini diajukan untuk mendukung proses pembelajaran dalam program studi Teknik Telekomunikasi dan bahan tugas pengajaran materi mata kuliah Teknik Digital II. Makalah ini menjelaskan rangkaian kombinasional, rangkaian sequensial dan lebih dalam menjelaskan tentang flip flop. Makalah ini diharapkan dapat memberikan ilmu yang bermanfaat serta referensi referensi terbaru mengenai rangkaian kombinasional, rangkaian sequensial, serta flip flop.Kemungkinan dalam penulisan makalah ini ada kesalahan, untuk itu kami sangat mengharapkan kritik dan saran yang sifatnya membangun guna sempurnanya makalah ini. Kami berharap semoga makalah ini dapat bermanfaat bagi penulis khususnya dan bagi pembaca umumnya.

Jakarta, Maret 2015

Penulis

DAFTAR ISIKata Pengantar iDaftar Isi iiBab I Pendahuluan1.1 Latar Belakang4Bab II Landasan Teori 42.1 Flip Flop42.2 Latch82.3 Pembahasan122.3.1 Jenis Jenis Flip - Flop 122.3.2 Cara Kerja Flip - Flop2.3.2 Latch 15BAB III Penutup 193.1 Simpulan 193.2 Saran19

BAB 1 PENDAHULUAN

1.1 Latar Belakang Rangkaian kombinasional dan rangkaian sequensial. Rangkaian kombinasional terdiri dari gerbang logika yang memiliki output yang selalu bergantung pada kombinasi input yang ada. Rangkaian kombinasional melakukan operasi yang dapat ditentukan secara logika dengan memakai sebuah fungsi boolean. Di samping itu, Rangkaian sequensial bagian rangkaian sistem digital yang mengingat keadaan keluaran sebelumnya dan keluarannya suatu kombinasi masukan tertentu juga tergantung atas keadaan keluarannya sebelum masukan itu digunakan. Bagian rangkaian demikikan disebut sebagai rangkaian berurut (sequensial). Rangkaian logika berurut juga pada umumnya memakai rangkaian logika kombinasi, setidak-tidaknya pada rangkaian masukannya. Rangkaian sequensial dibedakan atas dua jenis yaitu asynchronus ( tidak serempak) dan synchronus (serempak).Dalam rangkaian serempak, perubahan keadaan keluaran hanya terjadi pada saat-saat ditentukan saja. Walaupun masukan berubah diantara selang waktu yang ditentukan itu, keluaran daripada rangkaian itu tidak akan berubah. Berbeda dari rangkaian serempak, keluaran dari rangkaian tak serempak berubah menurut perubahan masukannya dan keluaran itu dapat berubah setiap saat masukan berubah. Umunmnya rangkaian tak serempak menggunakan unsur waktu penundaan pada lintasan umpan baliknya. Tundaan waktu ini biasanya diperoleh dari gerbang-gerbang pada lintasan itu. Adanya tundaan waktu itu kadang kadang membuat rangkaiannya tidak stabil dan rangkaian mungkin mengalami kondisi berpacu ( race condition) dimana satu perubahan masukan yang menyebabkan lebih dari satu perubahan keluaran. Karena kesulitan ini, dan juga karena pemakaiannya tidaklah seluas pemakaian rangkaian serempak, maka rangkaian tak serempak tidak dijelaskan dalam makalah ini.

Unsur pengingat yang paling umum dipakai pada rangkaian berurut serempak adalah flip-flop. Setiap flip-flop dapat menyimpan satu bit (binary digit) informasi,baik dalam bentuk sebenarnya maupun dalam bentuk komplemennya. Jadi, flip-flop pada umumnya mempunyai dua keluaran, yang satu adalah komplemen dari yang lainnya. Tergantung atas cara bagaimanan informasi disimpan ke dalamnya, flip-flop dibedakan menjadi beberapa jenis : RS,D,JK,T flip-flop.Rangkaian logika sequensial adalah rangkaian logika yang kondisi keluarannya dipengaruhi oleh masukan dan keadaan keluaran sebelumnya atau dapat dikatakan rangkaian yang bekerja berdasarkan urutan waktu atau dapat dikatakan rangkaian yang bekerja berdasarkan urutan waktu. Ciri rangkaian logika squensial yang utama adalah adanya jalur umpan balik ( feedback ) di dalam rangkainnya.Pada rangkaian sequensial terdapat tiga elemen penting, yaitu :a. Latchb. Flip-flopc. One Shoot.

BAB II LANDASAN TEORI2.1 Flip FlopFlip-flop adalah rangkaian digital yang digunakan untuk menyimpan satu bit secara semi permanen sampai ada suatu perintah untuk menghapus atau mengganti isi dari bit yang disimpan. Prinsip dasar dari flip-flop adalah suatu komponen elektronika dasar seperti transistor, resistor, dan dioda yang dirangkai menjadi suatu gerbang logika yang dapat bekerja secara squensial, nama lain dari flip-flop adalah multivibrator bistabil.Multivibrator adalah suatu rangkaian regeneratif dengan dua buah piranti aktif, yang dirancang sedemikian sehingga salah satu piranti bersifat menghantar pada saat piranti lain terpancung. Multivibrator dapat menyimpan bilangan biner,mencacah pulsa, menyerempakan operasi-operasi aritmatika, serta melaksanakan fungsi-fungsi pokok lainnya dalam sistem digital. Ada tiga jenis Multivibrator yaitu : astabil, monostabil, dan bistabil. Flip-flop yaitu multivibrator yang keluarannya adalah suatu tegangan rendah atau tinggi (0 atau 1). Untuk mengubahnya harus di drive oleh suatu masukan yang disebut trigger. Sampai datangnya pemicu, tegangan keluaran tetap rendah atau tinggi untuk selang waktu yang tak terbatas.Flip-flop juga merupakan bagian dari elemen terpenting pada rangkaian squensial bersama Latch dan One Shoot.Rangkaian Sequensial :1. Latch2. Flip-Flop : RS Flip-Flop, D Flip-Flop, JK Flip-Flop, T Flip-Flop3. One ShootJenis-jenis Flip-flop inilah yang nanti akan dibahas.

2.3 Pembahasan 2.3.1 Jenis Jenis Flip - Flop1. RS Flip FlopFlip-Flop RS pada simbol ini memiliki input aktif low / nol yang ditunjukan dengan adanya gelembung-gelembung kecil di input R dan S. Tidak seperti gerbang logika, flip-flop memiliki dua output komplementer. Output tersebut diberi label Q dan Q. Output Q di anggap merupakan output normal, dan dalam kondisi normal kedua output selalu merupakan komplementer. Karena fungsi flip-flop memegang data sementara, maka flip-flop ini sering disebut RS Latch Flip-Flop. Disamping itu, RS Flip-Flop adalah flip-flop dasar yang memiliki dua masukan yaitu R (Reset) dan S (set). Bila S diberi logika 1 dan R diberi logika 0, maka RS Flip-Flop rangkaian yang mempunyai 2 jalan keluar yaitu Q dan Q. Simbol-simbol yang ada pada jalan keluar selalu berlawanan satu dengan logika 0, maka output Q akan berada pada logika 0 dan Q pada logika 1. Bila R diberi logika 1 dan S diberi logika 0 maka keadaan output akan berubah menjadi Q berada pada logik 1 dan Q berada pada logik 0. Sifat paling penting dari Flip-Flop adalah bahwa sistem ini dapat menempati salah satu dari dua keadaan stabil yaitu stabil I diperoleh saat Q = 1 dan Q = 0, stabil ke II diperoleh saat Q = 0 dan Q = 1 yang diperhatikan gambar diatas Reset dan Set Flip-FlopReset : membuat keadaan menjadi (0) Set : membuat keadaan menjadi (1)INOUTKETERANGAN

RSQQ

0011Terlarang

0110Set

1001Reset

1100Memory

Yang dimaksud kondisi terlarang yaitu keadaan yang tidak diperbolehkan kondisi output Q sama dengan Q yaitu pada saat S = 0 dan R = 0. Yang dimaksud dengan kondisi memory yaitu saat S = 1 dan R = 1,output Q dan Q akan menghasilkan perbedaan yaitu jika Q = 0 maka Q = 1 atau sebaliknya jika Q = 1 maka Q = 0 (kondisi normal).

2. D FLIP-FLOPD Flip-flop adalah RS flip-flop yang ditambah dengan suatu inverter pada reset inputnya. Sifat dari D flip-flop adalah bila input data (D) dan pulsa clock berlogik 1, maka output Q akan berlogik 1 dan apabila input (D) berlogik 0, maka D flip-flop akan berada pada keadaan reset atau output berlogik 0. Flip-flop D memiliki data tunggal (D) dan input data detak (CK). Flip-Flop D sering sekali disebut juga sebagai flip-flop tunda. Nama ini menggambarkan dari operasi ini. Apapun bentuk input datanya (D), input tersebut akan tertunda selama satu pulsa detak untuk mencapai output normal (Q). Data dipindahkan ke output pada transisi dari low ke high.

Gambar 10.11 bentuk D Flip-Flop

Gambar 10.12 (Bentuk gerbang dari rangkaian D Flip-Flop)Nama Flip-Flop ini berasal dari Delay / D Flip-Flop. Flip-flop ini mempunyai hanya satu masukan yaitu D. Jenis flip-flop ini sangat banyak dipakai sebagai sel memori dalam komputer. Pada umunya flip-flop ini dilengkapi masukan penabuh seperti ditunjukan pada Gambar 10.12. keluaran flip-flop D akan mengikuti apapun keadaan D saat penabuhnya aktif, yaitu : Q+ = D. Perubahan itu terjadi hanya apabila sinyal penabuh dibuat berlogika 1 (CP = 1) dan tentunya akan terjadi sesudah selang waktu tertentu, yaitu selama tundaan waktu pada flip-flop itu.Bila masukan flip flop D berubah selagi CP = 0, maka Q tidak akan terpengaruh. Keadaan Q selama CP = 0 adalah keadaan masukan D tepat sebelum CP berubah menjadi 0. Dikatakan keadaan keluaran Q dipalang (Latched) pada keadaan D saat perubahan CP dari aktif ke tak aktifGambar 10.13 Tabel Kebenaran D Flip-Flop3. T FLIP-FLOPRangkaian T flip-flop atau toggle flip-flop dapat dibentuk dari modifikasi clocked RS FF,D FF, maupun JK FF. T Flip-Flop mempunyai sebuah terminal input T dan dua buah terminal output Q dan Q. T Flip-Flop banyak digunakan pada rangkaian counter,frekuensi, deviden, dan sebagainya. Toggle Flip-Flop adalah flip-flop JK yang kedua masukannya (J dan K) digabungkan menjadi satu sehingga hanya ada satu jalan masuk. Karakteristik dari flip-flop ini adalah kondisi keluaran akan selalu berbeda (Toggle) atau berlawanan dengan kondisi sebelumnya apabila diberikan masukan logik 1. Sementara itu kondisi keluaran akan tetap atau sama dengan kondisi keluaran yang sama sebelumnya apabila diberikan masukan logik 0.

Gambar 10.14 (Rangkaian T Flip-Flop)

Gambar 10.15 (T Flip-Flop)Gambar diatas menjelaskan tentang rangkaian dan tabel kebenaran dari rangkaian T Flip-Flop beserta bentuk masukan pulsa input dan outputnya.4. JK FLIP-FLOP JK Flip-Flop sering disebut dengan JK FF atau Master Slave FF karena JK Flip-Flop terdiri dari dua buah Flip-Flop yaitu, Master FF dan Slave FF. Master Slave JK FF inilah memiliki 3 buah terminal input, yaitu J,K,dan clock. Sedangkan IC yang dipakai untuk menyusun JK FF adalah tipe 7473 yang mempunyai dua buah JK flip-flop dimana layoutnya dapat dilihat pada vodemacum IC (Data Book IC). Kelebihan JK FF terhadap FF sebelumnya yaitu JK FF tidak mempunyai kondisi terlarang artinya berapapun input yang diberikan asal ada clock maka akan terjadi perubahan pada output.

Gambar 10.15 (Gerbang Rangkaian JK Flip-Flop)

Gambar 10.16 (Bentuk Pulsa dan keluaran JK Flip-Flop)2.3.2 Cara Kerja Jenis Jenis Flip - Flop1. Prinsip Kerja RS Flip-FlopKeluaran masing-masing gerbang NOR mendrive salah satu masukan pada gerbang NOR yang lain. Demikian pula, masukan-masukan S dan R memungkinkan kita mengeset atau mereset keluaran Y. Seperti sebelumnya , masukan S yang tinggi mengeset Y ke 1; masukan R yang tinggi mereset Y ke 0. Konsep RS Flip-flop yang yang harus diingat :1. R dan S keduanya rendah berarti keluaran Y tetap berada pada keadaan terakhirnya secara tak terbatas akibat adanya aksi penggrendelan internal.2. Masukan S yang tinggi mengeset keluaran Y ke 1, kecuali jika keluaran ini memang telah berada pada keadaan tinggi. Dalam hal ini keluaran tidak berubah, walaupun masukan S kembali ke keadaan rendah.3. Masukan R yang tinggi mereset keluaran Y ke 0, kecuali jika keluaran ini memang telah rendah. Keluaran Y selanjutnya tetap pada keadaan rendah, walaupun masukan R kembali ke keadaan rendah.4. Memberikan R dan S keduanya tinggi pada saat yang sama adalah terlarang karena merupakan pertentangan.2. Prinsip Kerja D Flip - Flop Bentuk Latch yang secara praktis penggunaanya luas adalah D Latch. Rangkaian Latch ini mempunyai masukan tunggal yaitu D (Data), dan akan menyimpan masukan data D dengan pengendali sinyal clock (CK).

Gambar 10.17 (Gerbang Logika D Flip-Flop)Jika D = 1, maka S = 1 dan R = 0, sehingga akan mengakibatkan state Q bernilai 1 (Q = 1). Sedangkan jika D = 0, maka S = 0 dan R = 1 yang berakibat pada state Q bernilai 0 (Q = 0). Perubahan state pada Q akan terjadi apabila clock berlogik 1. Sedangkan saat clock berlogik 0, Q akan mempertahankan state terakhirnya. Bentuk simbol grafik dari D Flip-Flop

Gambar 10.18 Simbol D Flip-Flop3. Prinsip Kerja JK Flip - Flop Masukan tambahan ini disebut dengan J dan masukan K untuk membedakannya dengan S dan R. Kontruksi JK menyediakan flip-flop universal yang dapat diprogram.Pada saat J = 1 dan K = 0, flip-flop berada dalam keadaan set (Q = 1 dan Q = 1). Kedatangan pulsa detak memaksa detak pada masukan G3 dan G4 menjadi logika 1 yang menyebabkan keluaran G3 berubah dari 0 ke 1 dan keluaran G1 (Q) berubah dari 1 menjadi 0, yang pada gilirannya akan mengubah keluarannya G2 atau (Q) dari 0 menjadi 1. Jika kombinasi masukan berubah menjadi J = 0 dan K = 1. Kedatangan pulsa detak akan mengubah keluaran G4 menjadi 0 (dari 1) dan keluaran Q berubah dari 1 menjadi 0 dan Q dari 0 menjadi 1. Pada saat J = K = 0, setiap gerbang NAND G3 dan G4 minimal mempunyai masukan pada logika 1, sehingga keluarannya akan tetap pada logika 1 dan logika Q dan Q akan tetap, tidak bergantung pada pulsa detak.

Gambar 10.19.(Gerbang Rangkaian JK Flip-Flop)

DAFTAR PUSTAKA1. Arismunandar,Kuwara, Perancangan Teknik Digital,Jilid 2, Pradnya Paramita,Jakarta,2. Zoro H. Reynaldo,Teknik Elektro Digital,Bab II,Catatan Kuliah, Department Teknik Elektro ITB, Bandung, 2004.3. Yulistya N, I Made,Teknik digital/Flip-Flop Materi,Catatan Kuliah,Jurusan Teknik Elekto ITS, Surabaya, 2009.4. Hutauruk, T.S, Gelombang Sinyal Digital,Erlangga,Jakarta,1999.5. www.google.com/flip-flop//1234a. Jakarta, 07 Maret 20156. www.wikipedia.com/definisiflip-flop//. Jakarta, 07 Maret 20157. Staff.uny.ac.id/sites/default/files/pendidikan/ariadie%20chandra%20nugraha,%20M.T/Labsheet_03_rev3.pdf. Jakarta, 07 Maret 20158. http://staff.unila.ac.id/junaidi/files/2013/06/FLIP-FLOP-D.pdf. Jakarta, 07 Maret 2015.