The Future of Pentagon-Industry Collaboration in Technology Development

24
The Future of Pentagon-Industry Collaboration in Technology Development Glenn R. Fong Department of Political Science University of Illinois at Chicago July 1990 Appeared in The Political Economy of Defense: Issues & Implications, Andrew L. Ross, ed. (New York: Greenwood Press, 1991).

Transcript of The Future of Pentagon-Industry Collaboration in Technology Development

The Future of Pentagon-Industry Collaboration in Technology Development

Glenn R. Fong Department of Political Science University of Illinois at Chicago

July 1990

Appeared in The Political Economy of Defense: Issues & Implications, Andrew L. Ross, ed. (New York: Greenwood Press, 1991).

The Future of Pentagon-Industry Collaboration in Technology Development

Introduction The Defense Department and American industry may be entering a new era of partnership. In hopes of developing leading-edge military and/or commercial technologies, the Pentagon and industry have joined forces in a series of collaborative research and development (R&D) efforts. In 1983, the Defense Department launched a $500 million Strategic Computing Initiative to develop next generation computer hardware and artificial intelligence. To complement this effort, a $250 million Strategic Software Initiative was launched a year later to design advanced computer software. In 1987, the $150 million Monolithic Microwave Integrated Circuit program was established to develop gallium arsenide1 semiconductors. Also in 1987, the Pentagon put together an $500 million Superconductivity Initiative to advance work on materials that offer no resistance to electrical current. Most recently, the Pentagon has contributed $500 million to Sematech, a government-industry consortium to design and develop semiconductor manufacturing technologies. Even the Strategic Defense Initiative is an example of joint government-industry collaborative research. Other than revealing a semantic proclivity for "initiatives" in the corridors of the Pentagon (Boffey, 1987), these programs share notable features distinguishing them from most other forms of Pentagon-industry collaboration. First, these initiatives call for collaboration in technology development. As illustrated by the literature on the "military-industrial complex,"2 the Pentagon and American industry have long had close working relations. Yet most of the work conducted by defense industries involves the production of military equipment or components for procurement rather than the development of new technologies. Years of contracting for military procurement provide little foundation for the very different requirements of collaborative R&D. Not since the 1950s has the Defense Department been so deeply involved in technology development. Second, the current spate of "initiatives" differ from past technology programs in terms of scope and organization. In contrast to traditional million dollar, annually contracted efforts, the recent programs are all multiyear, multi-million dollar initiatives. They incorporate long-term strategic approaches to technology development. The recent programs are also distinctive organizationally for their tri-service (Army, Navy, Air Force) management structures. Prior military R&D was traditionally contracted by a single service, and cross-service coordination was problematic. Third, the new programs call for unprecedented forms of Pentagon-industry partnership. Arms-length relationships where contract sponsors establish end-product specifications and where contractors fulfill those requirements often without continuing sponsor guidance no longer suffice. Instead, collaborative R&D has called for the pooling of Pentagon and industry specialists, day-to-day consultation and cooperation, and the use of joint R&D facilities. Fourth, these programs reach well beyond the traditional defense industries and call for the participation of mainstream commercial firms not classically associated with the military. To be sure, traditional, large defense contractors -- such as Boeing, Rockwell, Hughes, and McDonnell-Douglas -- have prominent positions in the new initiatives. Yet the search for leading-edge technologies has brought the Pentagon together with small, entrepreneurial firms serving commercial markets in semiconductors, software, artificial intelligence, robotics, and lasers, to name just a few.

2 These programs are of significance because they may represent the wave of the future not only technologically but institutionally. They might reflect a broader redefinition of Pentagon-industry relations. On the other hand, these "initiatives" might amount to nothing more than organizational as well as semantic passing fads. To scope out these prospects, this chapter investigates the pathbreaker of these new initiatives, the Very High Speed Integrated Circuit (VHSIC) program. The VHSIC program (1980-1988), constituted the U.S. government's largest, most ambitious effort in semiconductor technology since the 1960s. The program was budgeted at nearly $1 billion and some 25 companies ranging from major computer manufacturers to merchant semiconductor firms were on the receiving-end of VHSIC contracts. The second section of this chapter presents a brief overview of VHSIC's technological objectives and organizational structure. Within the Department of Defense, the VHSIC program has been considered an overwhelming success and it has served as a model for the ensuing initiatives (DSB, 1982). Hence, it is appropriate to analyze this model case to reveal the prospects and promises of the larger genre of Pentagon-industry collaboration that VHSIC helped spawn. The VHSIC experience highlights three factors that have played and will likely continue to play a role in determining the future of Pentagon-industry technology collaboration. To begin with, the demand for such collaboration has been heightened by the intense foreign competition that confronts U.S. high technology sectors. The third section of this chapter highlights how competition from Japan made the American semiconductor industry amenable to the VHSIC program. Concerns over rapidly advancing foreign competitors can also stimulate governmental interest in joint research projects. Mutual interest in or "demand" for collaboration is always necessary but rarely sufficient to successfully initiate government-industry projects. How a joint project is designed, and the process by which it is formulated, will determine whether industry demand for external support is translated into industry participation in a Pentagon program. The fourth section highlights the critical role of these "supply-side" factors in the garnering of industry support for VHSIC. The fifth section considers a major constraint on Pentagon-industry collaborative efforts. Even if demand- and supply-side conditions are favorable at the outset of a joint project, developments may arise that eventually divide government and industry and undermine their collaboration. The VHSIC case illustrates one important source for engendering discord between Pentagon and industry over the life of any collaborative project: pressures from the larger Pentagon and military service bureaucracies. Such pressures can skew a project's mission over time and undermine both Pentagon and industry interests in collaboration. Each of the above three elements -- international competition, program planning, and external bureaucratic pressure -- shaped the nature of Pentagon-industry collaboration in the VHSIC program. There is every reason to expect that what proved relevant for VHSIC will be applicable to other collaborative R&D efforts. A concluding section explicitly considers these policy implications.

The Case: VHSIC The VHSIC program sought to develop advanced integrated circuits3 that could be

3 introduced into military systems in a timely and affordable manner. The most important features of VHSIC circuits are their state-of-the art minimum features sizes in the micron and submicron range4 and high-speed computational throughput. Technological advances were sought in lithography5, circuit design, wafer processing and fabrication, chip packaging and testing, computer-aided design, and computer architecture and software. New radar, guidance, communications and other military systems are being designed to utilize VHSIC circuits. Three defense-related motives underlay the creation of the VHSIC program in 1979 (Interview materials). First, advanced integrated circuit technology was sought as a force multiplier to offset the numerical military advantages of the Soviet Union. VHSIC represents a base technology for the "smart weapons" military strategy. A second motive behind VHSIC was the reassertion of Defense Department influence over the direction of developments in semiconductor technology. While semiconductor technology was driven by military requirements in the 1950s and early 1960s, the microelectronics industry had turned away from the defense sector and towards industrial and consumer markets in the 1970s. Finally, the immediate impetus for the establishment of VHSIC were reports of advances in Soviet integrated circuitry. VHSIC was designed, in part, to reextend the U.S. lead over the Soviets in military circuits. Planning for VHSIC took place between October 1977 and June 1979. During this 20-month gestation, Defense Department officials consulted extensively with industry and academic specialists. Industry input was responsible for major organizational and technological features of the program. The program consisted of three sequenced phases and a fourth concurrent phase. Phase 0, completed in November 1980, was a study phase to define detailed approaches for achieving the ultimate objectives of the program and to prepare Phase I proposals. Fourteen prime contract bids were submitted for this phase, and nine contracts amounting to $10.3 million were awarded (see Table 4.1). Phase I consisted of the development of 1.25 micron circuitry and initial efforts to extend technology to submicron geometries. The nine Phase 0 prime contractors submitted bids for Phase I, and six awards amounting to $167 million were made in May 1981. Phase I work was planned to be completed in 1984. Phase II was designed to enhance the yields of 1.25 micron technology; rapidly insert this technology into military systems; standardize computer languages developed in the program; and continue the effort to develop submicron technologies. With respect to submicron work, nine study contracts (a la Phase 0) were awarded in July 1983, and three finalists were selected in October 1984. Phase II efforts were to be completed in 1988. Phase III ran in parallel with the other phases and consisted of many smaller, short-term efforts in specific technology areas designed to support the main program. Fifty-nine Phase III contracts amounting to $35.8 million were awarded to some twenty-five companies and universities.

4

Most VHSIC contractors teamed up with each other and coordinated their research efforts. For example, one firm may be responsible for the design of computer systems, another for circuit fabrication, and a third for semiconductor production equipment. A central Pentagon office managed the diverse technical activities of some twenty contractors. VHSIC was a tri-service (Army, Navy, Air Force) program in contrast to the usual practice of fragmenting

5 military technology programs across the three services. With a price tag of over $1 billion over an eight-year period, VHSIC represented America's largest, most ambitious government initiative in microelectronics in decades.

Demand-Side: International Competition Many different motives may bring government and industry together for collaborative research. For instance, cooperation may prove necessary to pool limited supplies of human, capital or technological resources. Firms may not have the required talent or financial resources to make a concerted push in new technologies, and high-risk technologies may suffer from private sector underinvestment. Scattered university and government research efforts may require coordination in order to optimally serve industry needs. Technological imperatives might, themselves, provide grounds for large-scale government-industry collaboration. Technical advances might require research in multiple scientific disciplines and across the entire research and development spectrum of basic science, applied research, materials processing, fabrication technology, and manufacturing techniques and equipment development. Moreover, work in each component area might be best carried out, not sequentially, but in tandem with each other. Advances in one component area can spur and even direct work in another area.6 A third motive for collaborative research proved particularly crucial for the VHSIC program. The pressures of international competition spurred interest in VHSIC by an important segment of American industry. Many types of firms took an interest in the VHSIC program. For some of these firms, however, interest in VHSIC came as no surprise. Foremost among such companies were the "military systems houses" such as General Electric, Hughes, Raytheon, Rockwell, TRW, and Westinghouse. They manufacture radar, electronic warfare, communications and guidance systems for the military. The interest of these traditional defense contractors in the VHSIC program sheds little light on broader Pentagon-industry relations. Among the industries whose technologies are utilized in VHSIC, the reaction of "merchant" semiconductor producers to the program carries the greatest ramifications for government-industry relations and industrial policy-making in the United States. Merchant producers, relatively small firms, sell semiconductors on the open market to other firms that use them as components in end products. In contrast, larger "captive" producers consume all or most of the semiconductors they manufacture for their own end products such as computers, industrial machinery, and consumer electronics. The U.S. microelectronics industry includes a handful of significant captives, IBM being the most prominent, and a large number of merchant producers. While at one point heavily dependent upon the Pentagon, the merchant semiconductor industry cultivated an overwhelmingly non-defense, commercial orientation by the late 1960s. The participation of this particular sector was, at least initially, vital to the VHSIC program. Not only did the merchant producers posses much of the expertise and experience to develop VHSIC technology, but their reintegration into the defense industrial base was a central program objective. The VHSIC program was able to attract the interest of ten of the top fifteen merchant producers, representing 63% of commercial integrated circuit production.7 The ability of VHSIC to draw the attention of the merchant semiconductor producers can tell us much about future

6 Pentagon ability to work with commercial American industry at large. In the case of VHSIC, Pentagon efforts were aided by environmental conditions that helped condition semiconductor producers to be receptive to Pentagon technology initiatives. In particular, at the very time when VHSIC was being formulated in the late 1970s, the microelectronics industry was suffering its first major onslaught from a foreign competitor, Japan. America's trade balance with Japan in semiconductors first went into deficit in 1977 and has since swelled to immense proportions.8 And the primary thrust of the Japanese in-roads were made in the industry's cash cow, high volume memory devices. By 1981, Japanese firms held the lion's share of U.S. and world markets for these profit-generating products.9 Profit margins for U.S. producers were immediately cut and, by early 1981, major firms began incurring operating losses (SIA, 1983, pp. 52-57). A decade has passed and the Japanese semiconductor challenge has only broadened and heightened. But even in the late 1970s, U.S. producers recognized that their problems with the Japanese were only beginning. The domestic industry pointed to escalating capital expenditures on new plant and equipment by the Japanese. Between 1978 and 1982, major Japanese manufacturers at least tripled their investment in semiconductor production facilities (SIA, 1983, pp. 34-35). Not only did such a buildup of production capacity mean that the Japanese were in the microelectronics business for the long-haul, but their investment efforts began to outstrip those of U.S. producers. Between 1978 and 1981, capital investment by Japanese semiconductor producers grew by 210% compared to only 110% for U.S. firms (SIA, 1983, pp. 64-66). Compounding their concerns over the long-term threat from Japan, U.S. semiconductor firms flagged their foreign competitor's ever-intensifying R&D efforts. In particular, the domestic industry pointed to the efforts of the Japanese government, beginning in the early 1970s, to build up the technology base of Japanese industry. In fact, at the very time VHSIC was being formulated, the Japanese launched their own ambitious joint government-industry research program, the Very Large Scale Integration project (1976-80), to develop next-generation semiconductor technology. The Japanese project was immediately identified as a devastating threat to continued U.S. leadership in microelectronics.10 These competitive developments clearly had an impact upon the disposition of U.S. semiconductor producers towards the VHSIC program. For instance, Motorola viewed its participation in VHSIC as a means by which to counter the long-range threat from Japan. Citing Japan's VLSI project in particular, National Semiconductor welcomed any U.S. government initiative, including VHSIC, that could enhance the long-term competitiveness of the firm. Intersil and Signetics also cited competitive pressure from Japan as a rationale for their support of VHSIC. The Japanese threat clearly put the U.S. industry in a mode of seeking out government support including in the form of collaborative research (Interview materials). And because competitive trends in the semiconductor industry threaten to replicate themselves in other advanced technology sectors, industry "demand" for joint research with government in general and with the Pentagon in particular will likely spread and intensify. The Japanese are now attempting to repeat their semiconductor successes in computers, telecommunications, software, lasers, biotechnology, robotics, and superconductors. In some of these areas, while not yet manifest in the form of market share in-roads, the Japanese are technologically superior to U.S. counterparts. Domestic firms not classically associated with the defense sector have and will increasingly turn to the Pentagon for support just as merchant

7 semiconductor firms turned to VHSIC. Intense foreign competition not only spurs private sector interest in collaborative research but also government concern. The Pentagon has established its semiconductor, artificial intelligence, supercomputer, software, gallium arsenide, and superconductor research initiatives precisely because of its concern over the weakening technological leadership of U.S. industry. While these efforts are designed to enhance military technology and the defense industrial base, the means adopted include shoring-up the commercial competitiveness of high technology industry. The latest example of Pentagon demand for joint research is in the area of semiconductor manufacturing. In its February 1987 report, the Defense Science Board (DSB) of the Department of Defense highlighted the growing dependency of the U.S. military on foreign imports of semiconductors (DSB, 1987). This dependency, which the DSB viewed as a potential threat to national security, was traced to the country's diminishing technological prowess in semiconductor technology. To rectify the situation, the DSB recommended that Pentagon expenditures on semiconductor R&D be increased by 25% per year over the next four years, and that $50 million a year be budgeted for a set of new university research centers on microelectronics technology. Most importantly, the report called the establishment of a government-industry consortium to develop advanced semiconductor manufacturing techniques and fabricate state-of-the-art devices. The DSB recommended that the Defense Department fund half of the consortium's expenses at approximately $200 million over the next six years. The Pentagon endorsed the DSB report, and the microelectronics industry responded with a detailed proposal for the government-industry consortium. The new research facilities, under the name of "Sematech," brings together at one site some 500 engineers and technicians from 13 companies and the military. A pilot production line has been constructed to develop manufacturing techniques and fabricate next-generation circuits (Advisory Council, 1989; CBO, 1987; GAO, 1989; McLoughlin and Miller, 1987). As stated by the industry's trade association, their interest in Sematech derives from their desire to reverse "the trend toward second-place status in chip production infrastructure" (SIA, 1987, p. 30). Sematech is clearly another case where the pressure of international competition has stimulated both government and industry demand for collaborative research.

Supply-Side: Program Planning

Even if demand is high on the part of both government and industry for joint research, the materialization of such collaboration will depend upon the specific programmatic features proposed or "supplied" by the initiative's organizers. Two points, one relating to the process and the other to the substance of program planning, proved crucial to VHSIC's winning the support of industry, particularly the commercial semiconductor producers. First, VHSIC's planning process was a remarkable open affair. Advice was sought from all-comers: traditional defense contractors, commercial electronics firms, universities, and even critics of military R&D. Widespread private sector input helped build broad-based support for VHSIC. Second, Pentagon planners took care that the substantive technological goals of VHSIC be consistent with, if not built upon, the technological agenda of commercial industry. The alignment of military and commercial objectives created the basis for joint government-industry research.11 Initial planning for VHSIC was carried out by a handful of officials in the Office of the

8 Under Secretary of Defense for Research & Engineering (OUSDR&E).12 In their efforts, these officials were willing to seek out and incorporate industry advice. They each had widespread contacts in industry and the contacts of one official complemented those of the others. While one worked closely with the commercial semiconductor industry, another was particularly well connected with traditional defense contractors. Some consulted with corporate research directors and program managers, while others met with top executives. Through 1978, the VHSIC office resembled a revolving door as company after company contributed their thoughts on how the Pentagon program should be designed. VHSIC organizers also made several cross-country trips for advice and information gathering purposes. Key companies consulted included Fairchild, Motorola, Texas Instruments, Hughes Aircraft, TRW, Intel, National Semiconductor, IBM, and Varian Associates. Besides the OUSDR&E officials, other defense agencies served as contact points for companies interested in VHSIC, notably the Defense Advanced Research Projects Agency, the Institute for Defense Analyses, and the three military services. Such multiple access points served VHSIC's planning well by promoting industry input. Indeed the breadth of industry consultation -- one might call it "participatory consultation" -- enhanced industry support for VHSIC by creating at least the perception of political efficacy among companies. One critical institutional link between government and industry during VHSIC's planning was the consultative forum provided by the Advisory Group on Electron Devices (AGED). Sponsored by the Department of Defense, AGED is composed of approximately 30 specialists from the three military services, industry, and universities. Its principal function is to review external R&D contracts in microelectronics proposed by the three military services. An overwhelming majority of those serving on AGED are members of the Institute of Electrical & Electronics Engineers, the major professional society of electrical engineers in the country. AGED was first brought into the planning of VHSIC in the spring of 1978 when OUSDR&E officials utilized the agency as a sounding board for the basic outlines of the program. At this early stage, several key features of the VHSIC program were established including: (a) funding the program at $100 million or more -- setting VHSIC apart from all prior electron device programs typically funded at no more than $5 million each; (b) establishing high-speed computational throughput as the major technological objective; (c) concentrating on signal-processing rather than mere data-processing; (d) emphasizing lithography advances to achieve micron and submicron circuit features to meet the program's speed requirements; (e) investigating design approaches that would minimize circuit customization (e.g., standard cells and gate arrays); (f) requiring system demonstrations of VHSIC circuits;

9 (g) pursuing several parallel circuit technologies; (h) awarding contracts to many companies rather than a select few; (i) setting off a diffuse technology support element (Phase III) from the mainstream industry effort; and (j) determining that the program would be a tri-service effort with management in OUSDR&E. AGED was more fully brought into the planning process in September and November 1978 when VHSIC planners organized two AGED "special technology area reviews" or STARs to aid in VHSIC's formulation. Specialists from 29 major academic and industrial institutions participated in these sessions.13 It is important to note that there was no requirement and little precedent for turning to AGED for advice. First, VHSIC planners were not required to consult with AGED. The Group has jurisdiction only over external contracts of the three military services. There is no explicit or even implicit requirement for AGED review of any program that originates from within OUSDR&E, as did VHSIC. In a similar vein, external contracts awarded by the Defense Advanced Research Projects Agency and in-house work conducted by the over 130 labs run by the three services are not reviewed by AGED. The Group is not even properly consulted on many of the contracts for which it is expressly responsible. A 1974 General Accounting Office report found that 44% of service contracts were reviewed by AGED only after the award of the contracts (GAO, 1974). Second, there was nothing automatic about the organization of the two special technology area reviews. Compared to the case-by-case review of service contracts, the holding of STARs is clearly a peripheral function of AGED. The practice began only in the early 1970s. And until the VHSIC STARs in 1978, an AGED area review had not been held in at least three years. There was not much of a track record that could be built upon in organizing the VHSIC conferences. The utilization of AGED and the organization of the STARs represent, then, initiatives that cannot be seen as normal operating procedure in the Pentagon. This point is underlined by the fact that, after VHSIC's establishment, the advisory group did not review the program's progress on a regular basis. Indeed, concerns were raised over the lack of AGED oversight of the program. Given the absence of Pentagon requirements or precedents, the seeking of AGED advice in 1978 can only be explained in terms of the personal predilections of VHSIC planners at the time. The two AGED STARs dramatically illustrate the openness of VHSIC planning to private sector advice and the significant contribution made by industry to the structure and orientation of the program. The two sessions are striking in that VHSIC planners sought out advice on such fundamental technical issues as the lithography approaches to pursue (optical, X-ray, electron beam); the types of circuit technologies to investigate (bipolar, NMOS, CMOS, CMOS/SOS); and the types of circuits to serve as test chips (various memories, microprocessors, logic chips). In each case, Pentagon officials opened the floor to suggestions and healthy discussion, if not debate, ensued.

10 Industry input in general and AGED deliberations in particular contributed to the following six major features of the VHSIC program: • Silicon vs. gallium arsenide -- In the earliest plans for VHSIC, the program was to include semiconductor work in both silicon (the primary semiconductor material in use) and gallium arsenide (a more experimental semiconductor compound with greater speed characteristics than silicon). Because of the difficulty in working with gallium arsenide and because of budget constraints, VHSIC planners accepted the recommendation of AGED to drop the material from the program. • 1.25 micron interim objective -- As originally conceived, VHSIC was to be a concerted push for circuitry with submicron features. Through 1978, however, the Pentagon was counseled to adopt a less ambitious midterm milestone for the program. Industry specialists warned against pushing too hard, too fast. Military service officials advocated that an intermediate objective midway through the program would result in quicker payoffs for military systems compared to an eight-year wait for submicron circuitry. The AGED reviews arrived at a broad consensus designating 1.25 microns as VHSIC's intermediate milestone after the first three years. • Computer-aided design (CAD) -- Pentagon planners have acknowledged their neglect of computer-aided design in early VHSIC formulations. The CAD budget was first augmented after an April 1978 AGED meeting highlighted the importance of the technology. Due to continued industry pressure, CAD came to account for 40-45% of VHSIC's budget. • Systems orientation -- Until the AGED technology reviews, VHSIC was still a highly technology- and device-oriented program. Priority was placed on developing specific electronic components with the fastest speeds, smallest features, and least customization. "Test" chips were to be produced that would later be incorporated into system applications. Pentagon officials were then surprised when AGED participants -- both industrial and academic, military contractors and commercial semiconductor makers -- unanimously recommended that VHSIC components be designed to meet the specific needs and requirements of computers and other electronic systems. While the end goal of the program had always been to enhance defense systems with advanced circuitry, the AGED deliberations revealed that system considerations would have to directly guide circuit design from the outset. The advisory group warned that developing circuits in isolation and then searching for systems applications at a later date, as VHSIC planners had in mind, would prove highly problematic. The planners quickly came around to the AGED position. • Vertical integration -- Through 1978 VHSIC planners considered fragmenting the program into as many as four separate technical efforts. Separate contractors would be selected for computer-aided design; another set for computer architecture and software; a third set for lithography; and yet another group for semiconductor fabrication. The results from each of these efforts would be integrated at a later stage. By early 1979, however, VHSIC organizers had decided to require contractors to address virtually all aspects of VHSIC technology from initial circuit design to system demonstration. Critical to this decision to "vertically integrate" the program was a suggestion in the AGED meetings that VHSIC bidders be "required to respond to the whole ball of wax."

11 • Teaming -- Along with fragmenting the program by technical area, VHSIC planners considered making awards to numerous individual contractors. The officials later encouraged companies to form contract teams, and again, AGED played a role in this policy change. The notion of multifirm teaming was first seriously discussed in the November AGED conference. Moreover, AGED recommendations that circuit design be system-driven and that the contract work be vertically integrated implicitly required the formation of teams of manufacturers of defense systems, merchant semiconductor firms, and companies specializing in such areas as computer-aided design, lithography, packaging, and testing. A direct outgrowth of the openness of VHSIC's planning process to industry input was the support the program received from the private sector. In 1979, thirty-two electronics firms submitted bids for the first round of VHSIC contracts. Industry came to support a program it helped to define. Yet industry support was achieved not merely by procedural openness. Pentagon officials explicitly sought to align the substance of VHSIC's technology objectives with industry interests. Such alignment was particularly critical to winning the support of the commercial microelectronics industry. Pentagon planners sought to ensure that VHSIC research would not radically deviate from mainstream semiconductor technology: technologies employed [in the program] must be consistent with mainstream industry efforts. . . . Program goals must be consistent with the industry learning process (VHSIC documentation). In the AGED sessions, defense officials acknowledged the rationale for this requirement: "If [VHSIC technology is] not in the mainstream of commercial work we're going to lose it eventually" (VHSIC documentation). As an added incentive for industry participation in the VHSIC program, Pentagon planners highlighted the prospects of commercial spinoffs from VHSIC technology. Through 1978, VHSIC organizers made such assessments as: one important side product of what DOD does should be to help U.S. industry (VHSIC documentation). We judge that about three-fourths of the [VHSIC] program will provide direct and indirect fallout to the IC [integrated circuit] industry (Business Week, 1978) The reactions of ten of the top fifteen semiconductor merchants evidence the success of the Pentagon campaign. Decisions to participate in VHSIC were vested not with officials from the military or government divisions of the firms but with senior corporate executives responsible for strategic business and technology initiatives. The VHSIC question was treated as an issue of broad corporate strategy rather than a strictly military affair (Interview materials). Regarding the complementarity between VHSIC technology and mainstream commercial technology, VHSIC objectives were seen as matching those of in-house technology efforts and

12 VHSIC participation was sought to accelerate those efforts. Rather than relegating VHSIC to peripheral military divisions within companies, VHSIC activities were integrated into mainstream commercial and technology efforts. For instance, everyday VHSIC activities at Texas Instruments, Motorola and National Semiconductor were directed by corporate vice presidents responsible for overall semiconductor research and development. At Motorola and National Semiconductor, VHSIC engineers were drawn from and worked closely with the company's advanced technology labs. These same engineers were part of larger company programs, in place well before VHSIC, to develop state-of-the-art Very Large-Scale Integration (VLSI) technology.14 In this way, VHSIC work was fused with and designed to intensify in-house commercial VLSI efforts. At Texas Instruments, a distinct VHSIC organization was not even been established. Instead, VHSIC activities were divided among and integrated with the broader responsibilities of the company's semiconductor, computer, and research divisions (Interview materials). Regarding the prospect of commercial payoffs from the program, the merchants expected commercial spinoffs in areas of process technology such as lithography, circuit technology, design approaches, and computer-aided design (Interview materials). The ultimate achievement of technological complementarity and commercial spillovers is a highly debatable point and will be addressed in a subsequent section. But the prospects of such synergy and benefits, as played up by Pentagon planners, were crucial in winning the support of merchant semiconductor producers at the outset of the program.

Feedback Loop: External Bureaucratic Influence No matter how propitious the demand and supply conditions for collaborative research, the prospects for Pentagon-industry collaboration will ultimately rest upon the success of the initiatives. Operational experiences, both positive and negative, will feed back into subsequent considerations of joint research. The ultimate performance and outcome of these programs will no doubt bear upon the likelihood of their replication. The VHSIC experience draws attention to an important limiting feature of military technology programs that does not bode well for the future of Pentagon-industry collaborative research.15 Defense programs like VHSIC can be deeply and intimately embedded in the larger military bureaucracy. Many advantages can be gained from such close bureaucratic ties including being able to take advantage of plentiful resources, existing facilities, and standard operating procedures.16 On the negative side, however, such programs can then be vulnerable to the influence of its surrounding bureaucratic environment.17 In face of such pressures from the "parent" organization, adherence to original program objectives may prove difficult and external bureaucratic influences might reshape policy objectives over time.18 Such changes may be seen as desirable, if only in the eyes of the sponsoring institution. From the perspective of this analysis, such changes are undesirable if they lead to the breakdown of government-industry collaboration. Even if collaboration is successfully forged at the outset of a project, externally-generated program changes may be great enough to undermine government and/or industry interests in continued collaboration. In the case of VHSIC, two sources of external bureaucratic pressure came to bear on the program: the larger Pentagon bureaucracy and the military services. The influence of these external bureaucratic actors reshaped VHSIC's orientation and priorities in two arenas: technology transfer policy and the nature of the program's technological agenda. As a result of these changes, the position of the commercial semiconductor industry -- the one industry whose

13 participation demonstrated that a Pentagon program could reach beyond the traditional defense sector -- in the VHSIC program was almost entirely undercut. Export Controls In 1979, Congress stipulated that the foreign transfer of VHSIC technology be governed by the International Traffic in Arms Regulations (ITARs). ITARs prohibit the shipping, mailing, carrying or disclosure of weapons-related technology and hardware outside the Untied States or to foreign nationals. From day-one, controversy raged over how ITARs should be applied to VHSIC technology. The controversy revolved around which of four forms of VHSIC technology should be subject to controls: (1) VHSIC circuits designed for military systems, and hardware incorporating such circuits ("militarized VHSICs"); (2) commercial circuits developed with VHSIC or VHSIC-derived design and processing techniques, and hardware incorporating such circuits ("commercialized VHSICs"); (3) design and processing techniques and equipment generated by the VHSIC program; and (4) basic, generic research generated by the VHSIC program. Early on, both industry and the Pentagon agreed that militarized VHSICs should be tightly controlled. The VHSIC Program Office initially took relatively liberal attitudes towards commercialized versions of VHSIC chips and semiconductor manufacturing equipment. And the office explicitly sought to exclude basic research from restriction. This consensus, however, was soon overtaken by larger events.19 The issue of restrictions on VHSIC technology became increasingly subject to forces outside the program, and specifically to shifts in general export control policy in the Reagan Administration Defense Department. The VHSIC Program Office, the Office of the Under Secretary for Research & Engineering within which VHSIC was situated, and the military R&D community in general took relatively relaxed positions on export controls. Given their understanding of the R&D process, this segment of the Pentagon appreciated the importance of the free flow of information among scientists and engineers. Export control policy in the Reagan Defense Department, however, has been shaped not by the military R&D community but by the Office of the Under Secretary for Policy. The "policy" side of the Pentagon has taken a much more hard-line position on the export of technology to adversaries and even allies. This broader shift in Pentagon policy worked over time to tighten export controls in the VHSIC program. The VHSIC Program Office moved to restrict not just militarized VHSICs but also commercialized versions and production equipment. Technical papers presented at industry and professional conferences became subject to prior Pentagon review and control. In 1983, for instance, a paper by Varian Associates on its VHSIC-sponsored lithography work was "sanitized" by the Defense Department before its presentation at an engineering conference

14 (DOD Suppresses Ion-Beam Paper, 1983). As discussed earlier, merchant semiconductor manufacturers were attracted to VHSIC by the promise of commercial spin-offs from the program. Of prime concern to these firms is the ability to market commercial products abroad that utilize VHSIC technologies or components. But the prospects for commercial spillover began to dry up as export controls were extended to commercialized VHSIC products. Technology transfer restrictions substantially dampened the industry's initial enthusiasm for the program. Research & Development Priorities The military services, the second source of external bureaucratic pressure, combined with the larger Pentagon bureaucracy to substantially alter the technological mission of the VHSIC program. At issue was whether the program would undertake more basic forms of research or more applied development work. When first launched in 1979, VHSIC was designed as a strategic, forward-looking program to advance state-of-the-art military electronics by a quantum leap in technology development. The program was devised under the auspices of the Office of the Under Secretary for Research & Engineering, an office responsible for building the military's long-term technology base. Soon after the program was launched, however, bureaucratic forces were set into motion to scale back VHSIC's technological ambitions. In particular, the military services favored near-term technologies with immediate applications. The services were interested in "real-world" technology applications that could be fielded in weapon systems. And as one analyst observes:

The central political feature of the weapon system acquisition process is that its control inevitably resides mainly in the hands of the services. No one else in the system has the information and the financial and staff resources to wield the "day-to-day" influence over programs ... (Deagle, 1980, p.176)

Moreover, with the change in administration in 1980 came the downgrading of basic research programs, civilian and military. Under the new Under Secretary of Defense for Research & Engineering20, military R&D took on an increasingly near-term orientation. This shift towards immediate technology applications pervaded R&D programs in the Pentagon, and VHSIC was no exception. At the outset, a clear division developed between the "technologists" and R&D types versus the "users" oriented toward immediate system applications. Indeed, the services initially resisted the VHSIC program because it was seen as a pie-in-the-sky research program far removed from battlefield requirements. Over time, however, the tug-of-war between the two orientations was resolved in the favor of the "users." VHSIC's technological mission shifted from more ambitious to more conservative objectives, from more basic to applied research, and from device-oriented work to greater concentration on entire electronic systems. These trends manifested themselves mid-way through the life of the VHSIC program in the form of three specific policy changes regarding "technology insertion," "yield enhancement," and submicron technology. Technology Insertion

15 The transformation of the VHSIC program began with the onset of a "technology insertion" initiative. From the program's very inception, the rapid adoption (or "insertion") of advanced circuitry into military systems had been recognized as a top priority. Until 1982, however, this objective was to be achieved more or less indirectly. Insertion was to be speeded by the vertical integration of the program where circuitry was designed to meet specific systems needs. Working system demonstrations were to be built to prove the applicability of VHSIC circuits in solving real, military system problems. These provisions already represented a change in program emphasis. Early planning documents indicated that demonstration systems were to be constructed not under the VHSIC program, but by a follow-up program (VHSIC documentation). The emphasis on systems demonstration and insertion would heighten once the program was launched. As early as 1979, the military services began studying a wide array of military systems that could benefit from VHSIC. And given the Reagan Administration's focus on military R&D with immediate applications, increasing emphasis was given to the rapid adoption of VHSIC circuits into military systems. In 1982 an external review of the VHSIC program was conducted by the Defense Science Board (DSB). The DSB pointed out that "the greatest risk in the VHSIC program, in our judgement, is not that we will fail to achieve the technical objectives, but that we will make a sluggish or incomplete implementation of the technology" (DSB, 1982). There was concern that military systems houses might be reluctant to make prompt commitments in the use of VHSIC technology because of the inherent technological and cost risks associated with any technology newly emerging from development work. In an earlier 1977 study, the DSB documented that over the prior ten to fifteen years, the time it took for a concept, idea or technology to proceed through the research, development and acquisition process, and actually get into the hands of the operational forces had doubled from 7-8 years to 14-15. As a result of the new Reagan Administration R&D philosophy in general and the DSB concerns in particular, in 1982 the VHSIC Program Office decided to step up insertion efforts via direct funding. The program budget was increased $210 million to subsidize development of near-term system applications. The three military services added over $400 million of their own funding to finance insertion studies (GAO, 1985, pp. 15-16). Under the technology insertion initiative, VHSIC as well as non-VHSIC system houses received funds to design and build VHSIC-based system prototypes for evaluation and comparison with prototypes based on other integrated circuit technology. Thirty-eight potential system applications received funding. These studies called for strengthening the interface between VHSIC's technology developers and the wider defense community's system manufacturers. While the original mandate of the VHSIC Program Office was the development and demonstration of advanced technology, the insertion initiative meant that the office would take on the added responsibility of directly promoting the technology's application in defense systems. As part of its new "marketing" role, the VHSIC Program Office issued a directive obliging system developers to rigorously consider using VHSIC technology. Defense contractors must now seriously consider insertion for any piece of military equipment that might benefit from the cost, size, weight, or reliability improvements offered by VHSIC circuits. To enforce this directive, Pentagon approval for the acquisition of weapons systems is contingent upon evidence of VHSIC insertion studies. Moreover, developers are required to provide the rationale for a decision not to use VHSIC technology (GAO, 1985, pp. 1, 12).

16 Yield Enhancement The technology insertion case is an example of how external bureaucratic forces pushed for the addition of new responsibilities in the VHSIC program. These same external bureaucratic forces also worked to reorient the program's existing or at least original technological objectives. To begin with, at the expense of other program objectives, increasing emphasis has been given to the production of Phase I circuits with 1.25 micron geometries. It will be recalled from Part IV that the 1.25 micron milestone emerged from VHSIC planning sessions only as an intermediate step towards submicron technologies. What was initially a waystation in a longer journey increasingly became the program's primary technological destination. This reorientation was in fact a direct result of the technology insertion effort. If pressure was on to immediately insert VHSIC technology into weapon systems, the logical candidate for insertion was Phase One 1.25 micron circuitry. The VHSIC Program Office would, therefore, have to provide users with reliable, preferably cost-effective first-generation circuitry in sufficient quantities. The problem was that as early as 1982 it became evident to the Pentagon that VHSIC's original Phase I cost allowances and schedule would very likely be exceeded. At the time the first phase was originally slated to be completed -- May 1984 -- only half of the 29 proposed Phase I VHSIC chip types had been produced, and most in limited quantities; and one contractor had completed the required system demonstration (GAO, 1985, p. 2). To overcome these problems and bring first-generation VHSIC technology to the users, the VHSIC Program Office responded in three ways. First, in 1982 the VHSIC Program Office directed contractors to give priority attention to activities leading up to the prototype system demonstrations of 1.25 micron technology. All other tasks that were not deemed essential to the demonstrations were deemphasized or entirely neglected (GAO, 1985, p. 1, 2). Second, contractors were allowed to extend their time schedules for meeting Phase I milestones. Initially, the VHSIC Program Office took pride in that fact that these first two measures required no additional government funding. Instead, existing resources were rebudgeted and work schedules were stretched-out at contractor expenses. Such financial restraint would evaporate in 1984. The Pentagon decided to launch a "yield enhancement and manufacturing technology" initiative to increase the quantity and reduce the cost of the 1.25 micron circuits that were coming on line. Instead of waiting til the end of the program, beginning in 1984 $192 million in direct subsidies were offered to Phase I contractors to improve their manufacturing efficiency so that reasonably priced VHSIC chips would be widely available as soon as possible. By enhancing pilot production lines, and addressing specific equipment-related problems encountered by contractors, the Pentagon hoped to: (1) increase manufacturing yields -- the number of technically acceptable chips expressed as a percentage of total chips manufactured -- from initial estimates of less than 2% to 10%, the latter figure being typical for VLSI devices; and (2) decrease the unit cost of VHSIC chips from initial estimates of $5000 to about $500 (GAO, 1985, p. 3). The Pentagon projected that VHSIC chip unit costs would fall to only $1500 by 1987 without the yield enhancement initiative. Submicron Technology Thus far we have seen how external pressure for technology insertion led to an emphasis

17 on first-generation VHSIC technology. That emphasis, in turn, was made at the expense of other VHSIC program objectives, particularly with regard to work on submicron technology. If 1.25 micron technology had become the program's primary technological destination, work towards submicron dimensions -- while not dropped entirely from the "itinerary" -- took on side-trip characteristics. Such characteristics were a far cry from one of VHSIC's overriding motivations: to break the micron barrier. And again, the root cause of these changes were external pressures from the larger military bureaucracy. Virtually from the onset of the program in 1980, officials from the Defense Department, the three services, and the military systems houses had advocated sacrificing submicron development to concentrate on 1.25 micron work and insertion. Those users interested in immediate applications had little interest in technologies whose system payoffs are too far down the road. If not dropped altogether, these parties wanted to limit submicron work to a study phase ala Phase 0. Likely technical problems and possible approaches to solutions would mapped out, but no actual lab work would be conducted. At the least, many officials felt that submicron development should be delayed until the 1.25 micron work was completed. These pressures eventually made their impact on the VHSIC Program Office. While the office determined that "it would be a critical mistake not to maintain our technological momentum by beginning development of Phase 2 submicrometer technology," it acknowledged that it was now "unquestionably focusing high priority attention upon providing full and convincing demonstration of the usefulness of the first generation VHSIC technology" (GAO, 1985, p. 20). When the VHSIC Program Office directed contractors in 1982 to give priority attention to activities leading up to the prototype system demonstrations of 1.25 micron technology, all other Phase I tasks -- including preliminary research on submicron technology -- were cut back. The Phase II submicron effort was in fact maintained, but its ambitious technical objectives were relaxed. When bids were opened for the submicron definition contracts in January 1983, the Phase II goal was loosened from the original benchmark of 0.5 microns to the "0.5-0.75 micron range". A subtle shift took place where the program office stressed circuit performance over specific geometry features. The office became happy with circuits that met specified speed and heat requirements even if at higher geometries than originally called for. While continuing the effort to cross the "micron barrier," the submicron work became substantially overshadowed by other program emphases. It made up only 20% of VHSIC's budget. Outcome It should be acknowledged that program changes might be natural in a multi-year initiative such as the VHSIC program. Changes might prove necessary as technical work progresses down learning curves or if unanticipated difficulties emerge. But the programmatic shifts cited above in the VHSIC program were initiated not by internal reevaluation but by external pressure from the military bureaucracy. Most importantly, the cumulative effect of these changes was to weaken the position of the commercial semiconductor industry in the program. Technology insertion worked against the interest of the semiconductor merchants because increasing attention shifted from device-oriented work (the domain of the merchants) to

18 systems development (the domain of the traditional defense contractors). This shift in emphasis is reflected in changing budget priorities over the life of the program. An early planning document envisioned devoting a full 70% of the VHSIC budget to chip fabrication and lithography. Only 30% of expenditures were to go to system demonstrations and systems-oriented work in design, automation, software, and test (DAST). By mid-1980, fabrication and lithography represented only 40% of the budget while systems work and DAST received "major program emphasis" (VHSIC documentation). The downgrading of device work immediately restricted the role of the semiconductor merchants in VHSIC. Initially, the semiconductor houses and even semiconductor manufacturing equipment producers saw themselves as prime contractors in the program. Given the programmatic reorientation, however, the large military systems manufacturers emerged as the "primes" when the first VHSIC contracts were awarded. The smaller firms could participate only as subcontractors. While VHSIC was initially referred to as a "lithography program", the key word would later become "system-driven." Device oriented work was not only downgraded but was also channelled down paths less desirable to the semiconductor producers. To be sure, semiconductor design and fabrication still had a place in the program --witness the emphasis on yield enhancement. But technology insertion and yield enhancement narrowed the focus on device work on 1.25 micron technology at the expense of submicron work. The merchants were most interested in pushing down circuit geometries, but the traditional defense contractors, the military services, the larger Pentagon bureaucracy, and ultimately the VHSIC program were not. To make matters worse, the prospects of garnering commercial payoffs from even the downgraded and limited device work were threatened by harsh export controls. As stated at the outset, industry demand for Pentagon-industry collaboration has been spurred by the pressures of international competition. By restricting the sales of products that derive from Pentagon-sponsored research, export controls can ensure that Pentagon programs will never contribute to the commercial competitiveness of domestic industry. Beyond diminishing industry attraction to the VHSIC, the programmatic changes also minimized Pentagon interest in the semiconductor industry. As device technologies moved down the list of VHSIC priorities, the Pentagon concentrated its attention on the military system houses. By turning away from the merchant industry, the VHSIC program was also turning its back on one of its primary missions: the reintegration of the semiconductor producers into the defense industrial base. The Pentagon had wanted to reverse the growing division between commercial and military work in integrated circuitry, and reestablish the close Pentagon-merchant industry relations of the 1950s. What began as a consensus among both government and industry on the need for collaborative research was transformed into mutual disinterest in working with each other. A barometer of the initial interest in the VHSIC program was the fact that 10 merchant semiconductor makers made bids for Phase 0 awards in 1979. By 1984 only three merchants submitted Phase II bids, and two of those bids were unsuccessful. Hence, by the end of the program, the merchant industry's participation had been reduced to a single company, Motorola.

Implications

19 International competition, program planning, and external bureaucratic influences are three major factors that shaped Pentagon-industry collaboration in the VHSIC program. They also provide windows on the prospects for more of such collaborative research. International competition is the one factor both least manipulable by policy and the most conducive for joint government-industry research. American firms do, of course, maintain technological and commercial leadership in many high technology arenas. But America can only expect to face ever-intensifying foreign competition. The ability of both Pentagon and industry to foster collaborative research may play a large part in determining whether this country maintains its technological leadership and competitive position. Collaborative research will likely be in high demand by both industry and government. Intense and widespread government-industry consultation during program planning proved instrumental to the forging of the VHSIC program. Industrial input is essential to inject market considerations into the determination of a program's technological objectives. To ensure the development of commercializable technologies, "exploratory R&D must take the pull of the market into account very early in the process. . . . Industrial involvement and interaction is required from the start" (Hecker, 1987). The rather unsurprising conclusion regarding the importance of consultation with industry has too often been surprisingly overlooked in the design of other technology programs both within and outside the Defense Department. In the planning of the Pentagon's recent gallium arsenide program, for instance, defense officials ignored company pleas to concentrate on high speed technologies that would have great commercial value. In 1978, the Department of Transportation launched -- without the advice of the car manufacturers -- the Cooperative Automobile Research Program, an effort to "reinvent the automobile." The absence of industry support effectively undermined the program before it was cancelled by the Reagan administration. Program planning which invites industry input and incorporates private sector advice certainly cannot be taken for granted. But at least the VHSIC experience demonstrates that the Pentagon can rise to the occasion. While requiring careful design and management, program planning should not pose insurmountable obstacles to future Pentagon-industry collaboration. External bureaucratic pressures definitely pose more formidable obstacles to the prospects for collaborative research. Over time, the VHSIC program became increasingly subject to the influence of the larger Pentagon bureaucracy and the military services. By tightening export controls on VHSIC technology, and transforming VHSIC work to more closely suit the immediate needs of the military, these external pressures undermined the program's initial close collaboration between government and industry. While certainly formidable, the regulation and management of such bureaucratic pressures is not entirely beyond the realm of policy manipulation. While this is not the place to detail such policy recommendations21, two thoughts can be offered. Policymakers might try and guard against specific influences such as those experienced in VHSIC. Technology transfer policy and technological objectives might be written in "stone" to try and prevent subsequent deviation. The success of such measures is, however, unlikely. Programs like VHSIC are subject to external pressures because they are embedded in a larger bureaucratic structure. The difficulty is not so much that there are particularly problematic policy issues or that specific

20 bureaucratic villains (the "policy" side of the Pentagon or the military services) can, over time, "subvert" a program. Instead, the root of the problem is the larger bureaucratic setting that makes a program vulnerable to any number of influences on any number of issues. The more effective though admittedly more challenging solution is to extricate programs from such menacing settings. Clearly, external pressures in the Pentagon can never be entirely eliminated, but programs can be designed to be realize greater insulation. The key is organizational insulation based upon financial and programmatic autonomy. In contrast, the VHSIC program depended upon the budgetary support of the military services, and the services as well as various Pentagon offices were represented on VHSIC's "board of directors" with policymaking authority. A possible model of bureaucratic insulation is the Defense Advanced Research Projects Agency (DARPA). This agency is responsible for the military's most advanced high-risk R&D, but is relatively autonomous from the Pentagon's larger Office of the Undersecretary for Research & Engineering. DARPA is also insulated from the military services; so much so that DARPA-service relations are quite strained. Given conducive, though menacing, pressures from foreign competition and the relatively manageable requirements of program planning, the regulation of external bureaucratic pressures holds the key to the future of Pentagon-industry research collaboration.

21

Notes 1 A material with potential, but as yet unrealized, performance advantages over silicon, the primary semiconductor material to date. 2 A classic statement being Melman, 1970. 3 Integrated circuits are very thin squares of silicon material, usually smaller than a postage stamp, which contain miniature circuitry that can store and manipulate information in the form of electrical impulses. While important technical distinctions exist between them, the terms "integrated circuits," "semiconductors," and "microelectronics" are used interchangeably in this chapter. 4 The micron (or micrometer) is the industry's unit of measurement referring to the feature sizes of a circuit (e.g., line widths). One micron is one-thousandth of a millimeter. A human hair is about 100 microns in diameter and cigarette paper is about 25 microns thick. Minimum feature sizes for integrated circuits have decreased from 1 millimeter in the late 1960s to 10 microns in the mid-1970s to 5 microns in 1978. 5 The techniques by which circuit patterns are etched or implanted on semiconductor materials. 6 Current efforts in high-temperature superconductivity are a case in point where simultaneous and interdependent work in applied materials research and theoretical physics is being carried out. 7 Texas Instruments (contractor, 1980-84); Motorola (contractor, 1980-88); National Semiconductor (contractor, 1980-84); Signetics (contractor, 1980); Fairchild (contractor, 1980); General Instruments (contract bidder (1979); American Microsystems (contract bidder (1979); Harris Semiconductor (second source (1983-); Analog Devices (contractor, 1980); and Intersil (contractor, 1980). Production figures from 1982. 8 U.S. Department of Commerce statistics. 9 Sixty-seven to sixty-nine percent of the world market for 64K dynamic random-access-memories; 95% of the world market for 16K static random-access-memories. 10 For analysis of the Japanese VLSI project, see Fong, 1987 and 1990. 11 This section draws upon Fong, 1986. See also Fong, 1990. 12 Four key Pentagon officials stand out during the formulation of the program (in descending hierarchical order): William Perry, Under Secretary of Defense for Research & Engineering (1977-1980); Ruth Davis, Deputy Assistant Secretary for Research & Advanced Technology (1977-1979); Leonard Weisberg, Director of the Office of Electronics & Physical Science (1975-1979); and Larry Sumney, Staff Specialist for Electron Devices and VHSIC Program Manager (1977-1982). 13 Bell Labs, California Institute of Technology, Carnegie Mellon, Clemson, Cornell, Fairchild, General Electric, Hewlett-Packard, Hughes Aircraft, IBM, Institute for Defense Analyses, Jet Propulsion Labs, Johns Hopkins, Lincoln Labs, Massachusetts Institute of Technology, Motorola, National Semiconductor, Raytheon, RCA, Research Triangle Institute, Rockwell, Sandia Labs, SRI, Stanford, Tektronix, Texas Instruments, TRW, University of California at Berkeley, Westinghouse. 14 Up to 10 transistors per chip represents small-scale integration (SSI); 100 transistors per chip, medium-scale integration (MSI); 1000 transistors per chip, large-scale integration (LSI); and 100,000 transistors per chip, very large-scale integration (VLSI). 15 This section draws upon Fong, 1987. 16 For the VHSIC case, see Fong, 1987, pp.50-54. 17 For a similar argument see Deagle, 1980.

22 18 External bureaucratic pressures might also prevent policy changes sought by program management. 19 Unlike most industry, the university community was never satisfied with VHSIC's technology transfer policy. 20 Richard Delauer. 21 See Fong, 1987 for specific treatment of policy options. ____________

References Advisory Council on Federal Participation in Sematech. 1989. Sematech: Progress & Prospects. Washington, D.C.: Department of Commerce. Boffey, Philiip M. July 29, 1987. Brimming with 'initiative. New York Times. Business Week, November 27, 1978. Congressional Budget Office (CBO). September 1987. The Benefits & Risks of Federal Funding for Sematech. Washington, D.C.: Congressional Budget Office. Deagle, Edwin A., Jr. 1980. "Organization & Process in Military R&D" in Franklin A. Long & Judith Reppy, eds., The Genesis of New Weapons: Decision Making for Military R&D. New York: Pergamon. Defense Science Board (DSB). February 17, 1982. Very High Speed Integrated Circuits (VHSIC) Program. Washington, D.C.: Office of the Under Secretary of Defense for Research & Engineering. Defense Science Board (DSB). February 1987. Report of Defense Science Board Task Force on Defense Semiconductor Dependency Washington, D.C.: Office of the Under Secretary of Defense for Acquisition. DOD Suppresses Ion-Beam Paper. March 21, 1983. Electronic News. Fong, Glenn R. Winter 1986. The Potential for Industrial Policy: Lessons from the Very High Speed Integrated Circuit Program. Journal of Policy Analysis and Management, 5: 264-291. Fong, Glenn R. December 1987. Federal Support for Industrial Technology: Lessons from the VHSIC and VLSI Programs. Washington D.C.: U.S. Congress, Office of Technology Assessment. Fong, Glenn R. April 1990. State Strength, Industry Structure, and Industrial Policy: American and Japanese Experiences in Microelectronics. Comparative Politics, 22: 273-299. General Accounting Office (GAO). December 9, 1974. Review of the Operations of the Advisory Group on Electronic Devices Sponsored by the Department of Defense. Washington, D.C.: General Accounting Office. General Accounting Office (GAO). May 8, 1985. GAO Assessment of DOD's Very High Speed Integrated Circuit (VHSIC) Technology Program. Washington, D.C.: General Accounting Office. General Accounting Office (GAO). November 1989. Federal Research: The Sematech Consortium's Start-up Activities. Washington, D.C.: General Accounting Office. Hecker, Siegfried S. June 10, 1987. Testimony before the House Committee on Science, Space, & Technology. Interview materials. McLoughlin, Glenn F. and Nancy R. Miller. April 23, 1987. The U.S. Semiconductor Industry & the Sematech Proposal. Washington, DC: Congressional Research Service.

23 Melman, Seymour. 1970. Pentagon Capitalism: The Political Economy of War. New York: McGraw-Hill. Semiconductor Industry Association (SIA). 1983. The Effect of Government Targeting on World Semiconductor Competition: A Case History of Japanese Strategy and Its Costs for America. Cupertino, California: Semiconductor Industry Association. Semiconductor Industry Association (SIA). August 1987. The Challenge of a Competitive World: Leveraging through Semiconductors. Cupertino, California: Semiconductor Industry Association. VHSIC documentation.