November/December 2021 - Semiconductor Today

115
www.semiconductor-today.com Choose Semiconductor Today for . . . MAGAZINE Accurate and timely coverage of the compound semiconductor and advanced silicon industries Published 10 times a year and delivered by e-mail and RSS feeds Targeted 82,000+ international circulation WEB SITE Average of over 26,000 unique visitors to the site each month Google-listed news source Daily news updates and regular feature articles E-BRIEF Weekly round-up of key business and technical news E-mail delivery to entire circulation Banner and text marketing opportunities available semiconductorTODAY COMPOUNDS & ADVANCED SILICON Vol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com Graphensic spun off • Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor• Oclaro and Opnext merge ISSN 1752-2935 (online) Efficiency droop in nitride & phosphide LEDs First single-crystal gallium oxide FET Efficiency droop in nitride & phosphide LEDs First single-crystal gallium oxide FET Follow us on Twitter: Semiconductor_T Join our LinkedIn group: Semiconductor Today

Transcript of November/December 2021 - Semiconductor Today

www.semiconductor-today.com

Choose Semiconductor Today for . . .

MAGAZINE

Accurate and timely coverage of the compound semiconductorand advanced silicon industries

Published 10 times a year and delivered by e-mail and RSS feeds

Targeted 82,000+ international circulation

WEB SITE

Average of over 26,000 unique visitors to the site each month

Google-listed news source

Daily news updates and regular feature articles

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com

Graphensic spun off •Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor•Oclaro and Opnext merge

ISSN 1752-2935 (online)

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Follow us on Twitter: Semiconductor_T

Join our LinkedIn group: Semiconductor Today

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.16 • Issue 9 • November/December 2021 www.semiconductor-today.com

ISSN 1752-2935 (online)

Qorvo acquires UnitedSiC •GaN Systems raises $150m Soitec buys NOVASiC •Lumentum acquiring NeoPhotonics

Silicon shortage constraining compound semidemand

Silicon shortage constraining compound semidemand

THE GLOBAL LEADER IN EPIWAFER SUPPLYEnabling the Best RF, Photonics & Power Semiconductors

‘One-stop-shop’ for III-V & Silicon epiwafer products

2” to 6” epitaxy ready substrates & polycrystalline materials

Multiple manufacturing sites; Europe, Asia & America

Leveraging 30+ years of compound semiconductor IP

Custom engineered structures; R&D to production volumes

www.iqep.com

EUROPE: +44 2920 839 400

AMERICAS: +1 508 824 6696

APAC: +886 3 579 8181

ALL INQUIRIES: [email protected]

POWERGaN on Si HEMT

GaN on GaNElectric Vehicle Systems

Power Conversion & Storage

GaAs Multi-Junction Solar High Efficiency Terrestrial CPV & Space PV

GaN LED & LaserMicroLED Display & AR/VR

UV Sterilisation

InP Laser & Detector

Optical Comms & Data CentresSW IR Imaging

GaSb Laser & DetectorMW-LW IR imaging

Biometrics

GaAs VCSEL3D Sensing & LiDAR

Datacoms

PHOTONICS

RFGaN HEMT

5G Infrastructure Radar, EW/ECM, & SATCOM

GaAsHBT / pHEMT / BiHEMT 5G Smartphones & Infrastructure

WiFi6 Hotspots, GPS & IoT

SiGe HBT5G Smartphones

WiFi6, Bluetooth, GPS & IoT

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

3

contentsEditorial 4Markets News 6 GaN to surpass 50% penetration in fast-charge market in 2025 •Annual 6” SiC wafer demand for EVs to reach 1.69 million in 2025 •Smartphone shipments to grow 5.3% in 2021, despite lower-than-expected Q3 amid supply chain constraints Microelectronics News 12Qorvo acquires UnitedSiC • Qorvo & Skyworks report record results Wide-bandgap electronics News 19Soitec acquires NOVASiC; partners with Mersen on poly-SiC substrates•Nexperia enters high-power SiC diode market • IVWorks raises $17.4m• GaN Systems raises $150m •Transphorm raises $50m • ST andA*STAR’s IME team on SiC R&D • II–VI supplying SiC to Tianyu Materials and processing equipment News 40IQE appoints CEO •Aixtron’s revenue almost doubles in Q3/2021 •AXT’s year-to-date InP revenue grows 46% year-on-year LED News 52 Full-color micro-displays based on stacked 3-color micro-LEDs Optoelectronics News 56 Nakamura receives Goldstein Energy Lecture Award • Ganvix andITRI form JV to commercialize GaN VCSELs Optical communications News 65 Lumentum acquiring NeoPhotonics • Lumentum’s quarterly revenuehit more than expected by semiconductor shortage• POET’s Q3sample deliveries slowed by industry supply chain challenges•New SFP112 & SFP-DD112 specs from SFP-DD MSA Photovoltaics News 74 5N Plus completes acquisition of AZUR SPACE

Technology focus: Lasers 78Indium arsenide quantum dot laser for E-band dry fiber

Technology focus: Lasers 80Direct-epi InP quantum dot micro-disk lasers on silicon

Technology focus: LEDs 84Gallium oxide white LED prospects

Market focus: UV-LEDs 87UV LED market to grow to $2.466bn in 2026, driven by COVID

Technology focus: LEDs 90Relaxed InGaN for green/red light emission

Technology focus: Indium phosphide 92Ruthenium gate for indium phosphide MOSFETs

Market focus: Deposition equipment 96ALD equipment market growing at 12% CAGR to $680m in 2026

Technology focus: Logic 98Logic technology scaling options for 2nm and beyond

Technology focus: Process optimization 102How AI and ML can save $38bn for semiconductor manufacturers

Suppliers’ Directory 106

Event Calendar and Advertisers’ Index 112

Vol. 16 • Issue 9 • Nov/Dec 2021

p22 Nexperia has entered the high-power SiC diode market with its new650V, 10A SiC Schottky diodes.

p32 GaN Systems has announced a strategic partnership with USI — asubsidiary of Taiwan’s ASE Technology— to co-develop GaN power modulesfor the EV market.

p56 The IOP Katharine Burr BlodgettMedal has been awarded to BrianCorbett, a researcher at Ireland’sTyndall National Institute and at IPIC,the Science Foundation Ireland (SFI)Research Centre for Photonics.

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O N

Cover: III-V Epiexhibited its MBEand MOCVD epitaxialmanufacturing serv-ices at November’sUK National QuantumTechnologies Show-case 2021 in London.

III-V Epi is also involved with the projectSHARK-VECSELs (Supply cHAin forstRontium clocK Vertical External-CavitySurface-Emitting Lasers) p63

Due to lower-than-expected third-quarter 2021 smartphone shipmentsand continued component shortages and logistical challenges (which maynot improve until mid-2022), IDC has lowered its full-year smartphoneshipment growth forecasts for 2021 from 7.4% to 5.3% and for 2022 from3.4% to 3%, respectively (see page 10). “The market declined by almost twice the projected rate as the supply

chain and logistical challenges hit every major player in the market.Moreover, the shortage is more heavily concentrated on 4G componentsthan 5G, which will impact vendors with a higher portfolio mix of 4Gdevices than vendors with a higher proportion of 5G models,” says IDC. “There have been significant shortages of components including 4G SoCs,

low-end 5G SoCs, display panel driver ICs, etc,” notes TrendForce (seepage 11). “The persistent component gaps are constraining smartphonebrands from raising device production for second-half 2021,” the firm adds. “This is expected to accelerate the jump to 5G, which is now forecast to

be almost 60% of worldwide shipments by this time next year,” says IDC. Due to the continued growth of higher-RF-content 5G smartphones, RF

component suppliers Qorvo and Skyworks both reported record quarterlyrevenue and earnings in Q3/2021 (pages 12–14, 16–17). “The RF contentincrease in mass-market phones is now greater on a percent basis than inflagship devices,” says Qorvo. This was despite supply chain disruptionsthat worsened through the quarter, particularly in mid to late September. “Our external supply chain is still recovering from disruptions in September,”

notes Qorvo’s chief financial officer Mark Murphy. “Beyond that, selectmaterials, products and production capacity remain tight,” he adds.“These are industry-wide issues affecting all suppliers, and our customersare challenged in producing matched sets for products. In smartphones,even where channel inventory for certain parts is healthy, customers lacksilicon chips to produce phones. This in turn creates changes in demandthat add to constraints on our own production as we work to adjust mix.” For the December quarter, Qorvo now says that revenue will fall a more-

than-expected 12% sequentially, due to (i) suppliers not having supply forQorvo, or (ii) Qorvo’s customers not having the chipsets and not being able tobuild their product and use its product, or (iii) Qorvo’s own internal constraint. Due to the softening demand in the smartphone supply chain, epiwafer &

substrate maker IQE forecasts Q4/2021 revenue down sequentially, andfull-year revenue down 8%, even on a constant-currency basis (see page44). However, it expects these effects to be temporary. In optical component manufacturing, Lumentum’s September-quarter

revenue saw a greater-than-expected $30m impact of semiconductorshortages in its Telecom and Datacom product lines. For the Decemberquarter, Lumentum expects revenue to rebound due to a recovery insemiconductor supply. However, this will still be outstripped by the morerapid rise in demand, with over $40m of orders going unfulfilled (page 67). Qorvo’s Murphy expects supply effects to moderate this quarter, followed

by improved supply–demand alignment in early 2022. In the March quarter,revenue for the firm’s Mobile Product group should be up slightly sequentially,as a typical seasonal decline is offset by improved supply and demand. “Despite near-term supply challenges, we are seeing a favourable

demand environment that we expect will continue throughout fiscal 2022,”concludes Lumentum’s president & CEO Alan Lowe. Mark Telford, Editor

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

4

editorialsemiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O N

Editor Mark TelfordTel: +44 (0)1869 811 577 Cell: +44 (0)7944 455 602 Fax:+44 (0)1242 291 482

E-mail: [email protected]

Commercial Director/Assistant EditorDarren Cummings Tel: +44 (0)121 288 0779Cell:+44 (0)7990 623 395Fax:+44 (0)1242 291 482E-mail: [email protected]

Advertisement SalesDarren Cummings Tel: +44 (0)121 288 0779Cell:+44 (0)7990 623 395Fax:+44 (0)1242 291 482E-mail: [email protected]

Original design Paul Johnsonwww.higgs-boson.com

Semiconductor Today covers theR&D and manufacturing ofcompound semiconductor andadvanced silicon materials and devices(e.g. GaAs, InP and SiGe wafers, chipsand modules for microelectronic andoptoelectronic devices such as RFICs,lasers and LEDs in wireless and opticalcommunications, etc).

Regular issues contain: news (funding, personnel, facilities,

technology, applications and markets); feature articles (technology, markets,

regional profiles); conference reports; event calendar and event previews; suppliers’ directory.

Semiconductor Today (ISSN 1752-2935)is published free of subscription chargein a digital format 10 times per year by Juno Publishing and Media Solutions Ltd,Suite no. 133, 20 Winchcombe Street,Cheltenham GL52 2LY, UK. See: www.semiconductor-today.com/subscribe.htm

© 2021 Juno Publishing and MediaSolutions Ltd. All rights reserved.Semiconductor Today and the editorialmaterial contained within is the copyrightof Juno Publishing and Media Solutions Ltd.Reproduction in whole or in part withoutpermission is forbidden. In most cases,permission will be granted, if the author,magazine and publisher are acknowledged.

Disclaimer: Material published withinSemiconductor Today does not necessarilyreflect the views of the publisher or staff.Juno Publishing and Media Solutions Ltdand its staff accept no responsibility foropinions expressed, editorial errors anddamage/injury to property or persons asa result of material published.

Silicon shortages constrain compounds

www.semiconductor-today.com

Choose Semiconductor Today for . . .

MAGAZINE

Accurate and timely coverage of the compound semiconductorand advanced silicon industries

Published 10 times a year and delivered by e-mail and RSS feeds

Targeted 82,000+ international circulation

WEB SITE

Average of over 26,000 unique visitors to the site each month

Google-listed news source

Daily news updates and regular feature articles

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com

Graphensic spun off •Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor•Oclaro and Opnext merge

ISSN 1752-2935 (online)

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Follow us on Twitter: Semiconductor_T

Join our LinkedIn group: Semiconductor Today

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

6

news

Apple recently unveiled its 140WMagSafe charger for the new MacBook Pro, marking the firsttime that Apple has adopted gallium nitride (GaN) technology.As such, 100+ Watt fast chargeproducts have thus entered a periodof growth, in turn accelerating theadoption of ‘third-generation’(wide-bandgap) semiconductordevices in consumer applications,according to market research firmTrendForce. While GaN power tran-sistor prices have dropped to nearly$1 now, and GaN fast charge technologies continue to mature,TrendForce expects GaN solutionsto reach a 52% penetration rate inthe fast charge market in 2025. TrendForce also says that in 2020,

GaN fast chargers with 55W–65Wof peak power accounted for 72%of all GaN fast charger sales (with65W being the mainstream),whereas those with peak power of100W or more accounted for only8%. Even so, the outlook for thesehigh-power fast chargers appearsrelatively promising, as more andmore companies release their ownhigh-power fast chargers inresponse to consumers’ increasingenergy consumption demand. Fast chargers with a peak power of140W are the most powerful solutioncurrently available. Within the 100+Watt product

category, GaN fast chargers havereached a penetration rate of 62%.These chargers are primarily sup-plied by Navitas and Innoscience.With a market share of more than70%, Navitas’ GaN chips are usedin products from Baseus, Lenovoand Sharge, among others. On theother hand, PFC+LLC combo controllers have become the main-stream solution for 100+Watt

fast chargers, as these controllersallow for higher efficiency and smallerphysical dimensions. The combinationof silicon carbide (SiC) diodes andGaN switches results in increasedPFC (power factor correction) frequency. As such, major manu-facturers have quickly adopted the

GaN+SiC wide-bandgap semicon-ductor combo for their fast chargers. For example, Baseus released the

world’s first 120W GaN (supplied byNavitas) + SiC (supplied by APS)fast charger in 2020 and saw excel-lent reception from the market. SiC power device suppliers, includ-

ing Global Power Technology,Maplesemi and onsemi, havealso been ramping up theirshipments to power delivery(PD) fast-charger manufac-turers. Notably, the fastcharge interface has gradu-ally become a standard fea-ture in cars. In light of therise of the high-power in-carcharging market, the powerconsumption and maximumbattery capacity of electronicproducts will propel the widespread application ofthird-generation semiconduc-tors, including GaN and SiC,going forward, concludesTrendForce. www.trendforce.com

Gallium nitride to surpass 50% penetration in fast-charge market in 2025 Existing 62% penetration in fast-growing 100+Watt category driving adoption

Market share (by sales volume, 2020) ofvarious wattages of GaN fast chargers.

Forecasted penetration rate of GaN solutions in the fast-charger market.

2020 2021(E) 2022(F) 2023(F) 2024(F) 2025(F)

60%

50%

40%

30%

20%

10%

0%

9%

17%

38%

46%

52%

27%

Apple recently unveiled its newestlineup of 14.2-inch and 16.2-inchMacBook Pros, which represent itslatest effort to extend its applicationof display backlights with mini-LEDtechnology from the 12.9-inch iPad Pro to the MacBook Pro range.In light of Apple’s foray into thehigh-end notebook computer mar-ket with the latest generation ofMacBook Pro, annual shipment ofnotebook computers equipped withmini-LED backlight for 2022 willlikely rise by 213% year-on-year to5 million units, reckons TrendForce. The market research firm notes

that, due to OLED supplier Samsung’saggressive efforts to capture sharein the notebook market this year,annual shipment of notebooks withorganic light-emitting diode (OLED)panels for 2021 will likely reach2.5–2.7 million units, which repre-sents a penetration rate of about1.1%. OLED displays competedirectly with mini-LED displays inthe high-end notebook market, sothe adoption of mini-LED displays bynon-Apple notebook manufacturershas consistently been limited. Also,Apple waited until fourth-quarter2021 to release the new MacBook Promodels. Taking these factors intoaccount, TrendForce is thereforereducing its forecast of annual ship-ment ofnotebookswith mini-LED back-lighting for2021 from2.4 millionto 1.65millionunits. Trend-

Force indi-cates thatApple’sproductplanning

regarding the newest MacBook Prolineup marks a departure from itsprevious strategy of having only a13.3-inch model for the entry-levelsegment and a 16.2-inch model forthe high-end segment, since thenewest 14.2-inch MacBook is posi-tioned as a product for the mid-rangesegment. Regarding pricing, the14.2-inch model at base configur-ation costs $500 more than the13.3-inch model and $500 lessthan the 16.2-inch model, assum-ing all aforementioned models areequipped with a 512GB SSD.Regarding technical specs, not onlydo the new models feature animprovement in CPU/GPU, but theirbacklight has also been upgradedto mini-LED technology. With theseadvances in both computing powerand display performance, Apple willlikely be able to erase any doubtsfrom consumers regarding the meritof the price hike, reckons TrendForce. Both of the new MacBook Pro

models are equipped with a mini-LED backlight, with 8000–11,000mini-LED chips divided across2000–2600 local dimming zones,resulting in a 1,000,000:1 contrastratio. Mainstream mini-LED back-light-equipped notebooks from othermanufacturers, on the other hand,generally feature about 240–512

local dimming zones, showingApple’s relative superiority in displaytechnology. Furthermore, despitebeing a step up in terms of displaysize from the 13.3-inch model, the 14.4-inch model maintains asimilar thin and light profile eventhough it contains a direct-lit mini-LED backlight, which requires addi-tional clearance for light mixing andadditional PCB substrate thickness. Along with Apple’s continued

adoption of mini-LED backlighttechnology, a plethora of new par-ticipants are expected to enter themini-LED supply chain in 2022, inturn making this technology muchmore cost-effective and benefittingcompanies that are not part ofApple’s supply chain as well. Companies that are set to benefitnext year include mini-LED chipsuppliers (e.g. Ennostar and Osram),testing and sorting service providers(e.g. FitTech, Saultech, and YTEC),SMT suppliers (e.g. TSMT and Yenrich), backplane PCB suppliers(e.g. Zhen Ding Tech, Tripod Tech-nology, and Apex), driver IC suppli-ers (e.g. Parade, TI, Novatek, andMacroblock), light source modulesuppliers (e.g. Radiant/ROE and GIS)and OEMs (e.g. Quanta and Foxconn),concludes TrendForce. www.trendforce.com

News: Markets

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

7

Shipment of notebooks with mini-LED backlightingto reach 5 million in 2022 Forecast for 2021 cut to 1.65 million after Apple’s delayed launch of high-end MacBook Pro

News: Markets

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

8

The demand for longer drivingranges and shorter charging timesfor electric vehicles (EVs) hasintensified the rush of automakerstowards high-voltage EV platforms,with various major automakersreleasing models featuring 800Vcharging architectures, such as thePorsche Taycan, Audi Q6 e-tron,and Hyundai Ioniq 5. Due to therising penetration rate of EVs andthe trend towards high-voltage800V EV architectures, demandfrom the global automotive marketfor 6-inch silicon carbide (SiC) waferswill grow to 1.69 million units in2025, forecasts market researchfirm TrendForce. The arrival of the 800V EV charging

architecture will bring about a totalreplacement of silicon insulated-gatebipolar transistor (IGBT) moduleswith SiC power devices, which willbecome a standard component inmainstream EV VFDs (variable-frequency drives), TrendForce says.As such, major automotive compo-nent suppliers generally favor SiCcomponents. In particular, tier-1supplier Delphi has already begunmass-producing 800V SiC inverters,while others such as BorgWarner,ZF and Vitesco are also makingrapid progress with their respectivesolutions. At the moment, EVs have become

a core application of SiC powerdevices. For example, SiC usage in

on-board chargers (OBC) and DC-to-DC converters has been rela-tively mature, whereas the massproduction of SiC-based VFDs hasyet to reach a large scale. Powersemiconductor suppliers includingSTMicroelectronics, Infineon, Wolfspeed and Rohm have startedcollaborating with tier-1 suppliers andautomakers in order to accelerateSiC deployment in automotiveapplications. TrendForce notes that the upstream

supply of SiC substrate materialswill become the primary bottleneckof SiC power device production,since SiC substrates involve complexmanufacturing processes, hightechnical barriers to entry, and slowepitaxial growth. The vast majorityof n-type SiC substrates used for

power semiconductor devices are6-inches in diameter. Althoughmajor IDMs such as Wolfspeedhave been making good progress in8-inch SiC wafer development,more time is required for not onlyraising yield rate but also transi-tioning power semiconductor fabsfrom 6-inch production lines to 8-inch production lines. Hence, 6-inchSiC substrates will likely remain themainstream for at least five moreyears, expects TrendForce. On theother hand, with the EV marketundergoing explosive growth andSiC power devices seeing increasedadoption in automotive applications,SiC costs will in turn directly deter-mine the pace of 800V chargingarchitecture deployment in EVs. www.trendforce.com

Annual 6-inch SiC wafer demand for EVs to reach 1.69 million units in 2025 Arrival of 800V EV charging architecture to drive replacement of silicon IGBT modules

REGISTERfor Semiconductor Today free at

www.semiconductor-today.com

2020 2021(E) 2022(F) 2023(F) 2024(F) 2025(F)

6 12

23

50

169

92

Distribution & Control Department

For sales, product & distributor information, please visit americas.fujielectric.com/UL-Plug-In

or contact us: [email protected]

Built-In Compact Ground Fault for Space Saving Designs

SameFootprint!MCCBsandELCBsinterchangeablewithoutPanelModifications

All frame sizes (50AF-250AF) can be mounted with the same mounting pitch of 70mm

LockOutTagOut(LOTO)safetydevices(fixedandremovabletypes)areavailableasanoption

Improves safety measures and easy & quick installation

UL489andCSAC22.2No.5approvedandcertified

The New Generation of Molded Case Circuit Breakers and Earth Leakage Circuit Breakers.

Fuji Electric G-Twin UL Plug-In SeriesNew High Quality Product with

improved safety features,easy installation and compact

FEA_202010.indd 1FEA_202010.indd 1 2020/10/09 11:472020/10/09 11:47

News: Markets

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

10

Shipments of smartphones willgrow 5.3% year-on-year in 2021to 1.35 billion units, according tothe International Data Corporation(IDC) Worldwide QuarterlyMobile Phone Tracker. However,due to the lower-than-expectedthird quarter and the continuedcomponent shortages and logis-tical challenges, which may notimprove until mid-2022, IDChas lowered its growth forecastsfor 2021 from 7.4% to 5.3%and for 2022 from 3.4% to 3%,respectively. Looking at 2023and beyond, IDC continues toexpect a modest but healthy3.5% five-year compound annualgrowth rate (CAGR) fueled by pent-up demand, declining averageselling prices (ASPs), and continuedtransition from feature phones tosmartphones. “Although we expected a slowdown

in the third quarter, the marketdeclined by almost twice the pro-jected rate as the supply chain andlogistical challenges hit every majorplayer in the market. Moreover, theshortage is more heavily concen-trated on 4G components than 5G,which will impact vendors with ahigher portfolio mix of 4G devicesthan vendors with a higher propor-tion of 5G models. These challengessurrounding 4G components haveshifted our short-term forecast forAndroid more than iOS, which isnow primarily 5G,” says NabilaPopal, research director with IDC’sMobility and Consumer DeviceTrackers. “On the positive side, thisis expected to accelerate the jumpto 5G, which is now forecast to bealmost 60% of worldwide shipmentsby this time next year, slowing thedecline in smartphone ASPs overthe forecast period.” Heading into the holiday quarter,

all regions are forecast to see a sin-gle-digit decline, with the most sig-

nificant decreases expected inAsia/Pacific (excluding Japan andChina) (APeJC) and China, whereshipments will be down 9.1% and8.4% year-on-year, respectively.However, due to the robust growthin first-half 2021, all regions exceptChina will finish 2021 on a positivenote. Within China, a slowdown inconsumer demand will lead to ship-ments finishing flat to slightly lowerin 2021 (–0.2% year-on-year) and2022 (–0.6% year-on-year).Demand in all other regionsremains strong, with almost nochannel inventory as supply contin-ues to be less than demand. IDCexpects this unmet demand will bepushed forward into the comingquarters and years, contributing tohealthy long-term growth. 5G devices are expected to deliver

year-on-year growth of 117% in2021, driven by a supply-side pushfrom both vendors and channels.The shift to 5G translates to greaterrevenues for most vendors com-pared with more affordable 4Gdevices, which saw a year-on-yeardecline of 22.5% in shipments. 5G-powered smartphones areexpected to have a $643 ASP in2021, which is 1.7% higher than2020, due to the massive success

of iPhone12 and 13devicesthat are all5G. By theend of theforecastperiod, IDCexpectsthe 5G ASPto drop to$416. TheASP for 4Gdevices isexpectedto reach$204 in

2021, down 26.5% on 2020, andfall to $109 by the end of the fore-cast period. China is forecasted tobe the largest market for 5G smart-phones in 2021 with 46.9% of ship-ments, followed by the USA at16.1% and APeJC at 12.8%. How-ever, China’s share is expected todrop to 30.5% by 2025 as otherregions ramp up their 5G networksand connectivity. “As with our previous forecast,

2021 will represent peak averageselling prices as Android will endthe year at $265 while iOS climbsto a staggering $950,” saysAnthony Scarsella, research directorwith IDC’s Worldwide Mobile DeviceTrackers. “The continued demandfor premium models in developedmarkets has 2021 ASPs growing11.4% to $382, up from $343 oneyear ago. In addition, the record-breaking $950 ASP for iOS in 2021has Apple controlling nearly 43% ofall smartphone revenues despiteonly a 17.1% market share. How-ever, moving forward, prices in theoverall market will slowly fall as 5Gdevices will decline 14.5% in 2022while 4G devices drop more than18% next year as the market con-tinues to shift towards 5G.” www.idc.com

Smartphone shipments to grow 5.3% in 2021, despitelower-than-expected Q3 amid supply chain constraints Growth forecasts lowered for 2021 from 7.4% to 5.3% and for 2022 from 3.4% to 3%

Global smartphone shipments and ASP forecast Q3/2021.

2019 2020 2021 2022 2023 2024 2025

2.5G 3G 4G 5G 4G ASP 5G ASP

1600

1400

1200

1000

800

600

400

200

0

Ship

ments

(m

illions)

$900

$800

$700

$600

$500

$400

$300

$200

$100

$-

ASP

(U

SD

)

The smartphone market is showingan improvement in demand duringsecond-half 2021 due to the peakseason for e-commerce promotionalactivities and the easing of COVID-19outbreaks in regions such asSoutheast Asia, according to thelatest report from TrendForce. However, there have been signifi-

cant shortages of componentsincluding 4G SoCs, low-end 5GSoCs, display panel driver ICs, etc.The persistent component gaps areconstraining smartphone brandsfrom raising device production forsecond-half 2021. In Q3/2021, smartphone production

was about 325 million units, up5.7% quarter-on-quarter. Even so,not only does the quarter-on-quarterincrease in smartphone productionfor Q3 fall short of that for the samequarter last year, but the quarterlyproduction volume also showsweaker performance comparedwith Q3/2020 or Q3/2019, prior tothe emergence of the pandemic. For full-year 2021 production,

TrendForce has lowered its projectionfor year-on-year growth from 7.3%(to 1.345 billion units) to 6.5%(1.335 billion units), mainly reflectingthe impact of the component gapson device production. Going forward, an important point

is whether the pandemic will fur-ther weaken smartphone demand.Other significant variables that willinfluence future smartphone demandinclude geopolitical tensions, distribution of production capacityin the foundry market, and globalinflationary pressure, reckonsTrendForce. While Q3 smartphone productionreached 325 million, new modelshelped Apple reclaim second Samsung grew its smartphone pro-duction by 17.9% quarter-on-quarterto 69 million units in Q3/2021,attributed mainly to stabilization ofcapacity utilization rates at itsdevice assembly plants in Vietnam.

The firm continued to top the globalranking of smartphone brands withthe largest market share in termsof unit production. Apple launched four new models

in the iPhone 13 series in Q3.Thanks to their contribution, totaliPhone production for Q3 grew22.6% quarter-on-quarter to 51.5 million units. Apple henceclimbed to second place in theglobal ranking. In terms of productdevelopment, the firm is stickingwith its plan to release its third-generation iPhone SE in Q1/2022and four models under a new seriesin second-half 2022. The third-generation iPhone SE is expected to be a major instrument in helpingApple to establish a presence in the market segment for mid-range5G smartphones. Its productionvolume for 2022 is forecasted toreach 25-30 million units. OPPO marginally raised its

smartphone production by 3%quarter-on-quarter to 51 millionunits in Q3, capturing third place inthe ranking. Xiaomi held fourthplace as its smartphone productionfell by 10% to 44.5 million units.Vivo’s smartphone production wasrelatively constant compared withthe prior quarter, at about 34 millionunits, ranking fifth. The productionfigures of these three Chinesebrands include devices under theirrespective sub-brands (i.e. OPPO’sRealme and OnePlus; Xiaomi’sRedmi, POCO, and Black Shark; andVivo’s iQoo). Looking at the threebrands’ production performances inQ3, TrendForce notes that there is a

highdegreeof over-lap intermsof tar-getmarketas wellas a

high degree of similarity in theirofferings. Hence, their productionperformances directly hinge on theirability to acquire enough of the com-ponents that are now in short supply. Honor to expand into overseasmarkets as part of comeback plan After spending first-half 2021stocking up on components andundergoing business restructuring,Honor is now on a more solid footingand should achieve annual smart-phone production of 43.5 millionunits, taking eighth place in theglobal ranking of smartphonebrands for full-year 2021. Also, Honor as an independent

brand has obtained access to GoogleMobile Services. Therefore, it plansto expand to other markets outsideChina next year and leverage thesales expertise that it has acquiredfrom Huawei in order gain a biggershare of the overseas markets. Regarding Honor’s sales strategy

as a whole, the main focus is stillon the domestic market. As for theoverseas markets, Honor will con-tinue Huawei’s strategy and avoidIndia, where competition revolvesaround low pricing. Instead, Honorwill attempt to establish itself inregions such as Russia, the widerEurope, and South America. In general, Honor’s rise will likely

affect the market shares of theother aforementioned brands. However, how much market shareHonor will gain depends on its ability to have sufficient inventoryof components that are now inshort supply, concludes TrendForce. www.trendforce.com

Smartphone production grows just 5.7% in Q3/2021due to supply chain-related component shortages Recovery to pre-pandemic levels remains unlikely

News: Markets

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

11

Market share of top 5 smartphone brands by production, Q3–Q4/21.

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

12

For fiscal second-quarter 2022(ended 2 October 2021), Qorvo Incof Greensboro, NC, USA (whichprovides core technologies and RFsolutions for mobile, infrastructureand defense applications) hasreported record revenue of $1255.2m($5m above the midpoint of the$1235–1265m guidance). This wasup 11.5% on $1110.4m last quarterand up 18% on $1060.3m a year ago(which was a 14-week quarter, versus this fiscal year’s more typical13-week quarter). “Strength during the quarter was

broad-based across customers, andsupported by new product launches,”says president & CEO Bob Brugge-worth. Mobile Products sales were $996m,

up 19.1% on $836m last quarterand up 32% on $754m a year ago(and well above the $985m guid-ance) due to continued growth ofhigher-content 5G smartphones.“In mobile products, the multi-yearmigration of 5G continues to driveRF content and integration trends,”says Bruggeworth. The RF contentincrease in mass-market phones isnow greater on a percent basisthan in flagship devices, he adds.“Qorvo enjoys broad exposure tomass-market designs at customerslike Owner, OPPO, Pixel, Samsung,Vivo, and Xiaomi.” Infrastructure & Defense Products

(IDP) revenue was $260m, down5.1% on $274m last quarter slightlybelow the expected $265m due toreduced supply from outsourcedassembly & test (OSAT) operationsin Malaysia and elsewhere. Asexpected, IDP was down 15% on$306m a year ago due primarily tolast year’s strong infrastructurebuild-out and 14-week quarter. Strategic highlights during September quarter In Mobile, during the quarter Qorvobegan shipping mid-high-band(MHB) and ultra-high-band (UHB)power amplifier duplexers (PADs),

antenna tuners and multiple con-nectivity solutions to support theramp of Google’s recentlyannounced Pixel 6 smartphone. Qorvo also received initial produc-

tion orders for MHB and UHB PADs,Wi-Fi front-end modules (FEMs) andmultiple high-performance discretesolutions enabling advanced function-ality in an upcoming Korea-based 5Gmass-market smartphone platform. In mobile Wi-Fi, Qorvo secured

Wi-Fi 6 FEM design wins with multiple top-tier smartphone OEMs,and began sampling Wi-Fi 7 FEMs,enabling higher data rates andimproved performance. In ultra-wide-band (UWB), Qorvo

says that it is advancing technolo-gies for a diverse ecosystem ofproximity aware connected devices.The firm secured a UWB design winto enable real-time device trackingand other location-aware applicationsin home mesh networks. It was alsoselected to supply UWB solutionsfor enterprise access points. Also,Qorvo has expanded its engagementwith a leading provider of consumerInternet of Things (IoT) productsspanning a broad range of con-nected home devices, and securedUWB design wins with multipleOEMs supporting enterprise accesspoints and home mesh networks. In automotive manufacturing,

Qorvo was selected to supply UWBand Zigbee solutions with Concur-rentConnect technology to anautomaker in Korea, streamliningautomation in manufacturing. In other connectivity markets,

Qorvo began sampling5.2GHz/5.6GHz Wi-Fi 6 iFEMs withan integrated bulk acoustic wave(BAW) filter, enabling higher capacityand improved efficiency in areduced form factor. In broadband, Qorvo began sam-

pling a triple-output DOCSIS 3.1amplifier module supporting net-work upgrades for major cableoperators in the USA and in Europe.

In infrastructure, design-winactivity was strong across OEMs,including small cells and base sta-tions. Wins included all of the RFtransmit- and receive-path content(including BAW filters) for 5G smallcells at a major base-station OEM.“We see infrastructure marketspicking up in 2022, with Qorvo’sSAM [serviceable addressable mar-ket] growing year-over-year,” saysBruggeworth. “The SAM for Qorvooutside of China will post significantgrowth next year and support astrong double-digit CAGR through2025,” he adds. In aerospace & defense, Qorvo

expanded its defense product portfolio with what it claims is anindustry-leading 125W S-bandpower amplifier module and a 1.8kWL-band radar pallet for commercialand defense radar applications. Finally, in RF-based biotechnology

testing, Qorvo received its firstcommercial orders and commencedshipments of its Omnia AntigenTest Platform (including cartridges).During the quarter, the US NationalInstitutes of Health (NIH) RADxvariant taskforce conducted anexternal study that demonstratedits performance in effectivelydetecting COVID variants, includingthe Delta variant. “Although this isa new market for us, we believe webring a novel technology that offersunique and real value as the worldmoves to more testing protocols,including for flu AB, and other sea-sonal pathogens,” says Bruggeworth. Operational performance On a non-GAAP basis, gross marginwas 52.4%, down slightly from52.5% last quarter, but up on51.7% a year ago and above themidpoint of the 52–52.5% guidancedespite supply chain disruptionsthat worsened through the quarter.“We have premium products andthese do allow us to price better andcompete where we most want tocompete,” notes chief financial officer

Qorvo reports record quarterly revenue and EPS …but supply constraints to induce a more-than-expected 12% sequential decline in December quarter

Mark Murphy. “Also, we’ve main-tained a utilization of our factorynetwork. We continue to drive pro-ductivity programs aggressively.” Despite being less than expected,

operating expenses were $222.1m(17.7% of sales), up from $215.6mlast quarter and $218.6m a yearago, driven by technology andproduct development expensesassociated with key growth pro-grams and recent acquisitions. Operating income has risen further,

from $329.4m a year ago and $367mlast quarter to $435.4m (34.7% ofrevenue — a fourth consecutive quar-ter of operating margin over 33%). Likewise, net income has risen

further, from $282.3m ($2.43 perdiluted share) a year ago and$322.6m ($2.83 per diluted share)last quarter to $384.5m (a record$3.42 per diluted share, $0.18above the $3.24 guidance). Cash flow from operations was

$244.8m. Capital expenditure(CapEx) was $47.3m (lower than theexpected $75m, due to the timing ofspending, and earlier-than-expectedreimbursement for a portion ofgovernment-funded work onadvanced packaging). Free cash flowwas hence $197.5m. During the quarter, Qorvo repur-

chased $223m of shares (making$523m over the last two quarters,

representing 110% of free cashflow). “We’ve continued to repur-chase shares as our outlook is posi-tive, our free cash flow and abilityto sustain investment in technologyand growth is strong, and ourleverage remains low,” says Murphy. Cash and debt hence remained

largely unchanged from the priorquarter at $1.2bn and $1.7bnrespectively. “We are sustaining investments in

highly differentiated technologiesand best-in-class products toextend our leadership and drivegrowth,” says Bruggeworth. After the quarter closed, Qorvo

acquired silicon carbide (SiC) powerdevice maker United Silicon Carbideof Princeton, NJ, USA (a pioneer insilicon carbide JFETs) for over $200m.“The combination will further differ-entiate Qorvo’s power portfolio,enabling more highly integratedpower device solutions and expandour addressable market [by almosta billion dollars] to include higher-voltage applications that demandmaximum power efficiency, such aselectric vehicles, charging stations,and renewable energy systems,”says Bruggeworth. “Our ability todeliver more power, more efficientlyand using less current helped putus at the center of the digital trans-formation. We’re eager to expand

these competencies as global mar-kets move to electrification andrenewable energy,” he adds. Financial outlook “After achieving a record Septemberquarter, we expect December-quarterrevenue to decrease sequentiallyamidst ongoing supply challengesand other factors impacting globalsmartphone demand,” says Murphy.“Revenue outlook reflects broad-based challenges and supply,impacting Mobile and IDP, andnear-term weakness in demand,principally in Asia. The supplyenvironment worsened through thequarter, particularly in mid to lateSeptember. And then these publi-cized weakness in demand emergedover the past 3 weeks or so,” headds. “The lack of deploymentsgoing on in China… is the IDP story.The rest of the business is doingvery, very well. If you look at thatbase-station business outside ofChina, it's actually growing way upover 30%,” says outgoing IDPGroup president James Klein. “Starting with supply, we have

several areas of constraint. Ourexternal supply chain is still recov-ering from disruptions in September,including shutdowns in SoutheastAsia. Beyond that, select materials,products and production capacityremain tight,” notes Murphy.

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

13

Qorvo has acquired silicon carbide(SiC) power semiconductor manu-facturer United Silicon Carbide(UnitedSiC) of Princeton, NJ, USA. The acquisition expands Qorvo’s

reach into the fast-growing mar-kets for electric vehicles (EVs),industrial power, circuit protection,renewables and data-center power.United Silicon Carbide will becomepart of Qorvo’s Infrastructure &Defense Products (IDP) businessand will be led by Dr Chris Dries,who was formerly UnitedSiC’spresident & CEO and is now generalmanager of Qorvo’s Power DeviceSolutions. “The addition of UnitedSiC to our

IDP business significantly expands

our market opportunities in high-power applications,” says PhilipChesley, president of Qorvo IDP.“This acquisition enables Qorvo todeliver high-value, best-in-classintelligent power solutions coveringpower conversion, motion controland circuit protection applications,”he adds. “Our team is thrilled to expand

our SiC portfolio as part of Qorvoand continue to build the businesswith speed and scale, working toaccelerate SiC adoption with theindustry’s highest-performancedevices,” states Dries. “Our SiCtechnology, together with Qorvo’scomplementary programmablepower management products and

world-class supply chain capabilities,enable us to deliver superior levelsof power efficiency in advancedapplications.” United Silicon Carbide’s product

portfolio now spans more than 80 SiC FETs, JFETs and Schottkydiode devices. Based on a uniquecascode configuration, the recentlyannounced Generation 4 SiC FETsare specified at what is claimed tobe an industry-leading 750V at5.9mΩ RDS(on), enabling new levelsof SiC efficiency and performancecritical for EV chargers, DC–DCconverters and traction drives, aswell as telecom/server power sup-plies, variable-speed motor drivesand solar photovoltaic (PV) inverters.

Qorvo acquires UnitedSiC, to add to IDP business

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

14

“These are industry-wide issuesaffecting all suppliers, and our customers are challenged in pro-ducing matched sets for products.For example, in smartphones, evenwhere channel inventory for certainparts is healthy, customers lack silicon chips to produced phones.This in turn creates changes indemand that add to constraints onour own production as we work toadjust mix… In a normal environ-ment, Qorvo can move swiftly torespond and capture demand.These supply-driven gaps are makingrecent demand softness in selectareas, such as our Asia smartphonecustomers, harder to quantify.” For fiscal third-quarter 2022

(to end-December 2021), Qorvotherefore expects revenue to fall amore-than-expected 12% sequen-tially to $1.09–1.12bn (albeit stillup slightly year-on-year). Of the$150m decline, about $135m is inMobile Products. The remaining$15m is in IDP (all due to supplyconstraints, i.e. suppliers not hav-ing supply for Qorvo, or Qorvo’scustomers not having the chipsetsand not being able to build theirproduct and use Qorvo’s product,or Qorvo’s own internal constraint). IDP is still expected to grow

sequentially, to $275m, returningto year-on-year growth. MobileProduct revenue is forecasted to beabout $830m (down 17% sequen-tially and flat year-on-year). Of the

$135m decline in Mobile Products,up to $100m is supply-related, so$35m is due to net demand beingdown (mainly in parts of Asia). Gross margin should be 52–52.5%.

“Our December quarter gross marginguide of 52.25% at the midpoint, isup versus the view we provided lastquarter, despite a more challengingsupply/demand environment thanexpected,” says Murphy. “We seeour technology and product mixand operating and capital efficiencyyielding a gross margin above 52%for the fiscal year,” he adds. Operating expenses should rise

slightly to about $224m in theDecember quarter, reflecting higherinvestments in core technologiesand expanding capabilities in newbusinesses, including the addition ofthe UnitedSiC team. Diluted earningsper share should fall to $2.75. “Capital expenditures are projected

to exceed $70m in the Decemberquarter as we work to intersectdemand and support long-termsupply agreements with multiplecustomers,” says Murphy. “Currently,we are supply constrained andproject to remain so through ourfiscal year-end. We continue toexpand BAW and GaAs capacity, as well as biosensor productioncapacity to support our growth pro-jections for fiscal 2023,” he adds.“The current supply challenges andnear-term demand weakness areacute, but more temporary than

durable. We expect supply effects tomoderate starting this quarter andimproved supply demand alignmentearly next calendar year.” “In the March quarter, we expect

the challenges [for IDP] to moderate,”says Murphy. IDP’s growth is expectedto accelerate in the March quarter,to over $300m. “We expect Mobileto be up slightly sequentially as atypical seasonal decline is offset byimproved supply and demand.”Total revenue is still guided to beup year-on-year. Gross marginshould be about 52%. For fiscal full-year 2022, Qorvo

expects revenue growth of “over15%” (towards the lower end of theprior guidance range of 15–20%).Gross margin should be over 52%,and operating margin about 33%.“We expect the business tostrengthen through the second halfof our fiscal year and contribute toa record full-year performance,including earnings growth over 20%,”says Murphy. “Looking beyond this fiscal year,

we expect double-digit growth tocontinue as Qorvo’s premium tech-nology product portfolio and oper-ating capability support 5G, Wi-Fi,IoT, defense, power, and othergrowth markets,” concludes Murphy.“Qorvo is exceptionally well posi-tioned to deliver earnings and freecash flow growth, serving a largeand growing need for more efficientpower and greater connectivity.”

Qorvo has appointed Philip Chesleyas president of its Infrastructure &Defense Products (IDP) segment,reporting to president & CEO BobBruggeworth. He succeeds JamesKlein, who retiring from the firm. “Philip has a proven track record

growing world-class organizationsat leading technology companies,”comments Bruggeworth. “His deepexpertise in RF, power, data com-munications, automotive, industrialand aerospace/defense markets willserve Qorvo well as he leads ourtalented IDP team to drive growth.”

Chesley was most recently VP &general manager of the Industrialand Communications business unitat Renesas. He was previouslysenior VP & general manager ofthe Automotive, Aerospace andAnalog Product Group at Intersil(acquired by Renesas in 2017). He joined Intersil in 2004 andserved in several executive leader-ship roles. Prior to that, he was afounder and director at Primarion, apioneer in software-programmabledigital multiphase power architec-tures, which was purchased by

Infineon. Chesley earned an MBAfrom Duke University’s Fuqua Schoolof Management and a Bachelor ofScience degree from Brigham YoungUniversity. “We are grateful to James Klein

for his years of commercial andtechnology leadership of IDP,”comments Bruggeworth. “Jamesand his teams have establishedQorvo as a leader in high-valuemarkets while more than doublingthe size of the business and diver-sifying Qorvo’s business mix.” www.qorvo.com

Qorvo appoints Philip Chesley as IDP president

Murata company pSemi Corp ofSan Diego, CA, USA — a fablessprovider of radio-frequency integ-rated circuits (RFICs) based on silicon-on-insulator (SOI) — hasgrown its patent portfolio to over1000 issued and pending patentsworldwide. From 2017 to 2021, thefirm increased its patent holdings by100%, reflecting its continued RFICand power management innovation. “For more than 30 years, pSemi

has fostered a culture of innovationin which our talented inventors have

pioneered novel technologies,industry-transformative architec-tures and unique design techniques,”says Takaki Murata, interim CEO.“This culture, reinforced by ourcontinued R&D investment, hasresulted in one of the industry’sstrongest patent portfolios.” pSemi’s semiconductor patent

portfolio enhances and complementsMurata’s portfolio of over 23,000patents. Since Murata bought it in2014, pSemi’s portfolio has steadilygrown, especially in recent years.

In 2020 alone, pSemi filed nearly150 patent applications. Also, thequality of pSemi’s patent portfoliohas been recognized as one of theindustry’s most valuable in the IEEESpectrum Patent Power Scorecard. Since pSemi filed its first patent in

1993, the company has recognizedover 200 inventors. In addition tofinancial incentives, pSemi sponsorsan Innovators Club that presentsannual awards including ‘Patent ofthe Year’ and ‘Inventor of the Year’. www.psemi.com

Guerrilla RF Inc (GRF) of Greens-boro, NC, USA has raised an addi-tional $4.4m in subsequent closingsof its private placement offering. In October, Guerrilla RF completed

a reverse merger (with LaffinAcquisition Corp) and the initialclosing of its private placementoffering in which it raised over $7min gross proceeds. The additionalclosings bring total gross proceedsto over $11.5m. The firm plans topursue the listing of its commonstock on the OTC Markets QB tier. Founded in 2013, Guerrilla RF is a

supplier of monolithic microwaveintegrated circuits (MMICs) targetingwireless infrastructure applications,including 5G and automotive. Thefirm has a well-established revenue

stream, with 2020 sales totaling$8.09m. Despite the disruptioncaused by COVID-19, Guerrilla RF’ssales have grown by 990% overthe past three years. “This additional capital will allow

us to further accelerate our growthand expand our product offerings,”says founder & CEO Ryan Pratt. Guerrilla RF is currently expanding

its R&D operations to a new 50,000ft2

facility in Greensboro. The new sitewill provide room to expand opera-tions and substantially increaseheadcount over the next two years. Guerrilla RF has a portfolio of over

95 high-performance RF andmicrowave semiconductor devices.The existing product line includesultra-low-noise amplifiers, gain

blocks, driver amplifiers, mixers, RFswitches, and linear PAs (poweramplifiers) — the building blocksfor mission-critical, performance-driven wireless applications, includ-ing 5G wireless infrastructure,cellular repeaters/boosters, andautomotive telematics. The private placement offering was

orchestrated by GP Nurmenkari Inc(as consulted by Intuitive VenturePartners), which served as theexclusive placement agent. TheBenchmark Company LLC servedas sub-agent. Montrose CapitalPartners was the sponsor for thetransaction. Mark Tompkins andJeffrey Shealy were lead investorsin the offering. http://guerrilla-rf.com

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

15

pSemi files 1000th patent application

Extra $4.4m takes Guerrilla’s private placement to $11.5m

Altum RF of Eindhoven, TheNetherlands (which designs RF tomillimeter-wave solutions for com-mercial and industrial applications)has announced a new sales repre-sentative agreement with HITECHRF & Microwave Solutions, coveringcustomers in the Netherlands, Belgium and Luxembourg. Founded in 1981, HITECH has its

headquarters in Zeist, Netherlandsand specializes in servicing the RFand microwave market in Europewith solutions in components, design

software, and test & measurement. “We are proud of our steady

progress to expand our sales coverage across the globe,” saysNiels Kramer, Altum RF’s managingdirector Europe & VP marketing.“HITECH provides a key combi-nation of technical expertise withexcellent customer support,enhancing our ability to assist customers throughout the designprocess,” he comments. “Altum RF’s innovative MMIC

products enable us to better serve

our customers with design solu-tions for a variety of applications,”says HITECH’s CEO Olaf Biezeman.“Moreover, with Altum RF’s focus ondeveloping new components, wehave the opportunity to expand ourcustomers’ product selectionoptions.”Altum RF is an international com-

pany, with strategic partnershipsand office locations that span theglobe to support its growing prod-uct portfolio. www.altumrf.com

Altum RF announces sales rep agreement with HITECH

For full-year fiscal 2021 (ended 1 October), Skyworks Solutions Incof Irvine, CA, USA (which manufac-tures analog and mixed-signalsemiconductors) has reportedrecord revenue of $5109m, up52% on fiscal 2020’s $3356m. By business sector, Broad Marketsrevenue grew by 45% to over$1400m. Mobile revenue grew 55%to $3700m. Fiscal fourth-quarter 2021 revenue

was a record $1311m (towards thehigh end of the $1.27–1.33bn guid-ance range), up 17% on last quarter’srecord $1116.4m and 37% on$956.8m a year ago. The quarter includes two months

of revenue from the acquisition (on 26 July) of the Infrastructure & Automotive (I&A) business of silicon, software and solutionsprovider Silicon Labs Inc of Austin,TX, USA, which has a $100m perquarter run-rate (to be reportedwithin Skyworks’ Broad Marketssegment). “We completed a strategicand compelling acquisition, imme-diately diversifying our productportfolio and expanding our marketreach,” notes chairman, CEO &president Liam Griffin. “Revenues in our Mobile and

Broad Markets portfolios both grewat double-digit rates sequentially andyear-over-year as we capitalized onbroad-based momentum fueled bydemand for our unique connectivitysolutions,” says Griffin. Broad Markets comprised 29% of

total revenue, up 13% sequentiallyand 31% year-on-year. Mobile comprised 71% of total

revenue, up 19% sequentially and40% year-on-year, after supportingthe ramp of new phone platformsat the firm’s largest customer (driving up that customer’s share ofSkyworks’ total revenue from 56%in fiscal Q4/2020 to 59% in fiscalQ4/2021, and 59% also for full-year

2021). “Not only in the smartphonelineup, but almost in every otherproduct that they have and thatthey sell, you will find Skyworksinside,” notes senior VP & chieffinancial officer Kris Sennesael. “Our strong performance through-

out the initial stage of a multi-yearwireless transition has been poweredby deep customer relationships anddecades of investments in innovativeconnectivity solutions,” says Griffin.“The complexity inherent in 5G anddemand for highly integrated solu-tions were major catalysts in driv-ing our performance,” he adds. “The acceleration of 5G powered a

broad set of use-cases in Q4, withdesign wins encompassing thenewest, most innovative smart-phones and IoT devices, as well asgains in wireless infrastructure,”says Griffin. Specifically: In Mobile, Skyworks extendedthe reach of its Sky5 portfolio, pow-ering the latest launches at leadingtier-1 smartphone OEMs, support-ing more than 20 platforms. Inaddition, it shipped Sky5 solutionsacross Samsung Galaxy’s tabletportfolio. In IoT, Skyworks continues togain new customers and expandcontent, delivering 5G CPE connec-tivity solutions to Nokia. It alsopartnered with Swisscom to launchtheir Wi-Fi 6 GPON residential gate-ways, and ramped Wi-Fi 6 and 6Eplatforms at NETGEAR and Cisco.Skyworks launched connectivity inhome security devices with AmazonRing and Comcast. It also captureddesign wins at Garmin supportingmobile fitness applications. In automotive, Skyworks sup-ported autonomous driving systemswith a market-leading Robotaxi plat-form. It also enabled the advancedcharge control unit systems for atier-1 European automotive OEM. Skyworks also provided power

isolation solutions to a strategicmanufacturer of EV, residentialsolar and energy storage systems. “Leveraging strategic technologies

from high-performance filters tocustom gallium arsenide andadvanced packaging, our world-classmanufacturing capabilities enableus to effectively navigate a complexsupply environment, capturingexpanding opportunities across ourend markets,” says Griffin. On a non-GAAP basis, quarterly

gross margin has grown further,from 50.4% a year ago and 50.6%last quarter to 51% in fiscalQ4/2021 (aided by the I&A business,which is running at about 60%gross margin). This has boostedfull-year gross margin from 50.2% infiscal 2020 to 50.9% for fiscal 2021. While operating expenses have

risen further, from $147m a yearago and $161m last quarter to$180m in fiscal Q4, this is a cutfrom 15.4% then 14.5% to 13.8%of revenue (and at the bottom ofthe expected $180–183m range),“demonstrating leverage in ouroperating model while continuingour strategic investments in supportof future growth,” says Sennesael. Top-line momentum and execution

on margins drove quarterly netincome up from $312.2m ($1.85per diluted share) a year ago and$358.6m ($2.15 per diluted share)last quarter to $438.8m ($2.62 perdiluted share, exceeding the $2.53guidance by $0.09). This boostedfull-year net income from $1041m($6.13 per diluted share) in fiscal2020 to $1753m ($10.50 perdiluted share) for fiscal 2021. “Skyworks set new records for

revenue and earnings for the fourthquarter and the fiscal year, deliveringsignificant year-over-year growth inresponse to robust demand acrossour expanded product portfolio,”says Griffin.

Skyworks reports record quarterly and full-yearrevenue and earnings In-house capacity and technology investments minimizing impact ofindustry supply chain issues

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

16

Quarterly operating cash flow hasrisen from $272.9m last quarter to$398m (taking full-year operatingcash flow to $1772m, up 47%year-on-year from $1204m). Capital expenditure (CapEx) hasmore than doubled from $115mlast quarter to $263m. During the quarter, Skyworks paid

$93m in dividends and repaid$250m of its term loan. In full-yearfiscal 2021, Skyworks returned$536m of cash back to the share-holders. This comprised $340m individends (up from $307m the prioryear), plus $196m in share buybacks(down from $648m). The latterwere all during fiscal Q1/2021.“Starting into fiscal 2021, we temporarily suspended our sharerepurchase program in connectionwith the acquisition of the Infra-structure & Automotive businessfrom Silicon Labs [for $2.75bn],”notes Sennesael. As a result of the all-cash transac-

tion, cash, cash equivalents & mar-ketable securities hence fell duringthe quarter from $2978m to$1027m while long-term debt rosefrom $1487m to $2235m. Griffin notes that capital assets

and technology investments thatSkyworks made 6–12 monthsahead have minimized the impactof industry supply chain issues andshortages. “Having those assets in-house is strategic, it's critical, it’swhat customers want to see, all the way from gallium arsenide topackaging, assembly & test, TC-SAW[thermally compensated surfaceacoustic wave], bulk acoustic wave,standard SAW [filters]. We can mixand match to put the right solutiontogether,” says Griffin. “It was theability to invest early, drive thatcash flow, drive that performanceto continue to do that and thenbring those products to market inways that are very flexible and hav-ing the ability to go from IoT to 5Gto Bluetooth to Wi-Fi,” he adds.“Whatever the connectivity protocolmay be, we will have the technologyexecution vehicles within our com-pany to execute for our customers.” “Looking ahead, Skyworks’ cash

generationability isfundingcapacityexpansionand next-generationtechnologydevelop-ment, posi-tioning usfor contin-ued leader-ship andsustainablegrowth asthe transi-tion to 5Gand otheradvancedconnectiv-ity solu-tionscontinues,”reckonsGriffin. CapEx

has beenrunning at10–12% ofrevenue.“We madethe neces-saryinvest-ments inour manu-facturingfootprint,not onlyjustexpandingthe capacity but also adding newtechnology and improving the per-formance of our technology and our

products,” says Sennesael.“Demand is higher than the supply,but Skyworks has, compared topeers and competitors and otherindustry players, executed reallywell because we did not hesitateand put the CapEx in place,” headds. “Based on continued content

gains, product ramps and designwins across both mobile and broad markets, we expect furtherdouble-digit sequential revenue andearnings growth in the Decemberquarter,” says Sennesael. For fiscalfirst-quarter 2022 (to end-December2021), Skyworks hence expectsanother quarter of double-digitsequential revenue growth, by 14%to $1475–1525m. Gross margin is projected to be 51–51.5%. Operating expenses should rise to$184–187m. At the $1.5bn mid-point of the revenue range, dilutedearnings per share should be$3.10, up 18% sequentially. “This is just the beginning of a

long 5G cycle, the beginning of Wi-Fi upgrade, the beginning of prolif-eration of 5G outside of mobile intogrowth markets,” says Sennesael.“It is a tight supply-constrainedenvironment and we are facingsome input cost increases rightnow. We continue to drive furtheroperational efficiencies,” he adds. “Skyworks will continue to strate-

gically invest in next-generationtechnologies and capital expansion,positioning us for market leader-ship and sustainable growth as thetransition to 5G and otheradvanced connectivity technologiesaccelerates,” says Griffin. www.skyworksinc.com

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

17

Skyworks’ board of directors has declared a cash dividend of$0.56 per share of common stock,payable on 14 December, tostockholders of record at the closeof business on 23 November. “Given the strength of our business

and the progress we have madeon integrating the acquisition, and

given the low leverage ratio of lessthan 1 turn, going forward we will,from time to time, consider sharerepurchases as part of our capitalallocation strategy, depending onmarket conditions and in additionto our dividend program and further term-loan repayments,”says Sennesael.

Dividend payment

This is just thebeginning of along 5G cycle, thebeginning of Wi-Fiupgrade, thebeginning ofproliferation of 5Goutside of mobileinto growthmarkets. It is atight supply-constrainedenvironment andwe are facingsome input costincreases. Wecontinue to drivefurther operationalefficiencies.Skyworks willcontinue tostrategically investin next-generationtechnologies andcapital expansion,positioning us formarket leadershipand sustainablegrowth as thetransition to 5G andother advancedconnectivitytechnologiesaccelerates

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

18

AmpliTech Group Inc of Bohemia,NY, USA — which designs and makessignal-processing radio frequency(RF) components for satellite com-munications, telecoms (5G & IoT),space, defense and quantum com-puting markets — has agreed toacquire the assets and operationsof Spectrum Semiconductor Materials Inc of San Jose, CA, aglobal distributor of components(packages and lids) for integratedcircuit (IC) assembly in prototyping,testing and production. The trans-action is expected to close withinfiscal-year 2021, subject to satisfy-ing certain closing conditions. The acquisition is expected to

deliver significant strategic and top-and bottom-line benefits while alsobuilding on AmpliTech’s technicaland management expertise and dis-tribution reach. Spectrum’s unau-dited 2021 revenue is on track fordouble-digit year-on-year growth to$10–11m, with pre-tax net incomeof about 25% of revenue. Spectrumachieves strong net margins bystocking a wide variety of specialtyproducts and employing digitalinventory practices that optimizeoperating efficiency. AmpliTech’srevenue for the past four quarterswas $3.5m, and order backlogrecently reached a record $3.4mfollowing $1.2m in new orders.Spectrum currently has recordbacklog of $8m in orders expectedto ship through end-June 2022. The purchase price is $8m in cash

plus $1.5m to be held in escrowthrough December 2022 (to fundany adjustments) plus the grant of188,442 restricted shares ofAmpliTech common stock. The parties have agreed to a purchaseprice adjustment of 25% of Spectrum’s cumulative net revenueabove or below $20m in sales forcalendar years 2021 and 2022combined. On 30 September,AmpliTech had about $28m in cash,cash equivalents and marketable

securities, and no long-term debt. Of strategic importance, Spectrum

provides a distribution platform inthe USA, Europe and Asia forAmpliTech’s new line of monolithicmicrowave integrated circuit(MMIC) chip designs, and Spectrumhas been building its RF-focusedsemiconductor revenue base.AmpliTech’s MMIC chip designsintegrate its low-noise signal ampli-fication (LNA), signal filter and sig-nal attenuator technologies into themuch smaller and more flexiblechip form factor. Foundry produc-tion of initial MMIC chipsets is tar-geted for commercial availability bythe end of first-quarter 2022. It is reckoned that each company

brings proven sales personnel andrelationships that complement eachother in addressing the combinedfirm’s expanded market opportu-nity. Furthermore, as AmpliTechdevelopsits newMMIC line,Spectrumcan pack-age thedesignsfor high-volumeappli-cationsexpectedto drivesalesgrowth.The acqui-sition isexpectedto bringAmpliTecha substan-tial busi-nessdevelop-mentpresencein SiliconValley andinterna-

tional markets, building on itsexisting East Coast and Texasteams to create a broad globalfootprint. “Spectrum Semiconductors is a

perfect fit for advancingAmpliTech’s strategic goals anddelivering shareholder value. With Spectrum, we will add a well-managed, growing businesswith a long-term track record forhigh quality and excellent customerservice and the ideal distributionplatform for the launch of our MMICchip solutions,” says AmpliTech’sCEO Fawad Maqbool. “This transac-tion will allow us to more than tripleour current annual revenue run rate,while also being immediately accre-tive to our bottom line,” he adds. “Of equal importance, Spectrum

brings us a highly satisfied, long-term and global customer basewith excellent penetration in ourcore end markets of aerospace,defense, automotive and comput-ing, along with a deep Silicon Valleypresence, to support the launch ofMMIC chip solutions [that] we planto bring to market by the end offirst-quarter 2022,” Maqbool con-tinues. “We expect AmpliTech andSpectrum will play a key role inimproving the speed and efficiencyof communications systems aroundthe world in high-growth appli-cations such as 5G cellular, MEOand LEO satellites, quantum com-puting and autonomous vehicles.Importantly, AmpliTech is wellfunded to complete this transactionand to then execute on the growthopportunities of the combined busi-ness,” he concludes. Joining forces with AmpliTech

“provides us with a broader base ofopportunity and exciting growthpotential as we collaborate to bringAmpliTech’s premier MMIC chipsolutions to market, commentsSpectrum’s CEO Robert Larson. www.spectrum-semi.com www.amplitechinc.com

AmpliTech acquiring Spectrum Semiconductor Materials Spectrum to package AmpliTech’s new MMIC chip designs for high-volume applications

Spectrum providesa distributionplatform in theUSA, Europe and Asia forAmpliTech’s newline of MMIC chipdesigns, andSpectrum hasbeen building itsRF-focusedsemiconductorrevenue base.AmpliTech’s MMIC chip designsintegrate itslow-noise signalamplification,signal filter andsignal attenuatortechnologies intothe much smallerand more flexiblechip form factor

Engineered substrate manufacturerSoitec of Bernin, near Grenoble,France has acquired NOVASiC ofSavoie Technolac, France, whichspecializes in polishing and reclaim-ing wafers on silicon carbide (SiC).Soitec says that the acquisitionallows it to drive the developmentof semiconductors for power supplysystems in electro-mobility andindustrial applications. The transac-tion is expected to be completed bythe end of 2021. In a strategic move to address the

need of the automotive and indus-trial markets for performance andenergy efficiency, Soitec is expand-ing its product portfolio beyond sili-con-on-insulator (SOI) with siliconcarbide, which it says unlocksgreater performance, optimizeddesign and lower environmentalimpact for power electronics (par-ticularly in electric vehicles andother power-efficient applications). Soitec reckons that SmartSiC sub-

strates, resulting from the appli-cation of its patented proprietary

technology SmartCut to SiC, willenable new levels of performanceand energy efficiency comparedwith traditional bulk SiC, throughhigher donor wafer re-usability,improved yields and lower diesizes. In addition to reducing siliconcarbide’s environmental impact inits production process, SmartSiC istargeted at being a catalyst toaccelerate the adoption of electricvehicles (EVs). SmartSiC is cur-rently at the prototyping level fordevices with several key partners. Established in 1995 near Greno-

ble, NOVASiC provides wafering,reclaiming and polishing servicesfor high-performance semiconduc-tors and industrial crystals tolaboratories and industrial cus-tomers, with a particular focus onsilicon carbide. The firm has devel-oped polishing processes that aresaid to allow enhanced device per-formance with a scratch-free, low-roughness, ultra-clean epireadysurface, and no damaged layers. With the acquisition, NOVASiC’s

CEO Didier Marsan will becomesenior technical advisor for Soitec. “Soitec’s SmartSiC substrates will

be the backbone of energy-efficientelectro-mobility,” claims Soitec’schief operating officer BernardAspar. “The acquisition of NOVASiCand the integration of its expertisein wafering, polishing and reclaimingbrings the latest technology build-ing block for Soitec to deliver anoptimal final product and preparethe industrialization phase of ourSmartSiC product line. The expertiseof NOVASiC will help us furtheraccelerate the go-to-market andadoption of our smart silicon carbideapplications in the promising anddemanding automotive and indus-trial markets,” he adds. “We look forward to providing our

expertise to support the roadmapof Soitec’s new, very promisingproduct line, and to contributing tothe adoption of Soitec’s smart silicon carbide solutions,” saysMarsan. www.novasic.com

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

19

Soitec and Mersen of Courbevoie,France, which focuses on electricalspecialties and advanced materials,have entered into a strategic technical partnership to develop anew family of polycrystalline silicon carbide (poly-SiC) substratesfor the electric vehicle (EV) market. Due to their respective experience

in substrates and materials, thejoint development by Soitec andMersen of very low-electrical-resis-tivity poly-SiC substrates shouldoptimize SiC power electronicscomponents based on Soitec’sSmartSiC technology. The collab-oration between the Mersen teamsin Gennevilliers and Soitec teams inBernin and Grenoble will strengthen

this development project. Theteams will also be able to benefitfrom the expertise of the SoitecSubstrate Innovation Center withinCEA-Leti to validate the progressmade in the industrialization process. “By further pooling our materials

and semiconductor expertise, weare able to produce substrateswith very advanced performance,”reckons Soitec’s chief technologyofficer Christophe Maleville. “Theresults are compatible with ourSmartSiC technology, and alsoexceed the specifications of ourcustomers, which are the SiCpower electronic circuit foundries.With its very low electrical resistivity,the poly-SiC substrate becomes a

key element of our technology,and can vastly improve energyefficiency, thus making electricvehicles more efficient,” he adds. “This partnership demonstrates

Mersen’s polycrystalline SiCexpertise and our ability to developa custom-design product compati-ble with Soitec’s technology,” saysMersen’s CEO Luc Themelin.“Thanks to this strengthened part-nership, we will be able to offer theelectronics industry a high-per-formance, cost-effective substratefor the production of power semi-conductors, especially for the electric vehicle market.” www.mersen.com www.soitec.com

Soitec & Mersen partner on poly-SiC substrates for EVs

Soitec acquires NOVASiC to aid industrialization ofSmartSiC for automotive and industrial markets NOVASiC’s wafering, polishing and reclaiming complements Soitec’s SmartCut-based technology

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

20

Following an $18m grant receivedin early October from the USNational Science Foundation (NSF)to fund construction and operationof the national silicon carbide (SiC)research and fabrication facility, theUniversity of Arkansas has nowreceived further grants exceeding$5m ($4.5m from the US ArmyResearch Office and $900,000 fromthe Army Research Laboratory) forthe facility. Alan Mantooth, Distin-guished Professor of electrical engi-neering, is principal investigator forboth grants.The Army Research Office grant

will be used for equipment, and theArmy Research Laboratory grantfor student and staff compensation,tuition and materials for supportingcollaborative research activitieswith the Army Research Lab. Combining cutting-edge equip-

ment and infrastructure with a core of research experts focused onsilicon carbide semiconductor devices,sensors and integrated circuits, thefabrication facility will develop newelectronics to address areas ofnational defense. Researchers aretasked with fabricating moreenergy-efficient and heat-resistantICs for compact and robust elec-tronic devices for branches of theUS military.

The facility will also train the nextgeneration of semiconductorresearchers and engineers forworking in both the silicon and silicon carbide semiconductor indus-tries. Students at all degree levelswill be given research opportunitiesand be exposed to a high-needarea of science and technology. The research also aims to engageunder-represented students in thisburgeoning area of electronics. With now decades of experience

working with silicon carbide, Man-

tooth will lead a team that willacquire, install and integrate equip-ment for the purpose of building alow-volume prototyping facility toproduce silicon carbide ICs. In addition to Mantooth, researchers

on the project include Greg Salamo(Distinguished Professor of physics);Zhong Chen (associate professor of electrical engineering); andShannon Davis (business and operations manager in the Depart-ment of Electrical Engineering).https://research.uark.edu

National SiC facility at University of Arkansas gains $5mmore in grants from US Army $4.5m from Army Research Office for equipment, plus $0.9m fromArmy Research Laboratory for student and staff compensation, tuition and materials for collaborative research

Zhong Chen (associate professor of electrical engineering); Greg Salamo(Distinguished Professor of physics); Shannon Davis (business andoperations manager in the Department of Electrical Engineering); and Alan Mantooth, Distinguished Professor of electrical engineering,

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

21

BorgWarner Inc of Auburn Hills, MI,USA is the recipient of a $4.97m USDepartment of Energy (DOE) awardfor the development of a ScalableUltra Power-dense Extended Range(SUPER) inverter. The R&D project isone of 24 university- and industry-led projects that collectively wereawarded $60m in an effort toreduce CO2 emissions from thetransportation sector. BorgWarner is leading the 39-month

project (begun in October) andworking with Infineon TechnologiesAmericas Corp, PolyCharge America,the US National Renewable EnergyLaboratory (NREL) and Virginia Techas partners to bring the SUPERinverter to fruition. Wolfspeed Incwill be a key supplier. “With an intense focus on electrifi-

cation, and as a leading systemsintegrator and high-voltage invertersupplier, we are proud to beselected by the DOE to develop anext-generation inverter that willaccelerate innovation in electric drive

systems and propel the performanceand capabilities of electric vehicles,”says Dr Stefan Demmerle, president& general manager, BorgWarnerPowerDrive Systems. “We have along-standing relationship with theDOE and have teamed up with animpressive group of industry partnersand technology leaders.” The goal of the project is to

develop a smaller, more efficientand more cost-effective inverter,with the intent of enabling 800Velectrified vehicles to meet orexceed the DOE solicitation targetof 100kW per liter of power density.Additionally, project plans call for adesign that allows high power den-sity with power scaling between100kW and 300kW and that can beused either as a standalone deviceor integrated into a drive unit thatincludes a motor and gearbox. During the first year of the proj-

ect, the team will create the con-cept for the entire silicon carbide(SiC)-based inverter system. In the

second year, it will make all criticalcomponents that make up theinverter, including the power moduleand capacitor, and finalize theinverter’s design. The final year willbe used for validation of the com-ponents and the SUPER inverter.Once complete, the team expectsto take the technology from devel-opment to production relativelyquickly. “For this project, we will be lever-

aging our experience with ourunique and high-performing 800VViper silicon carbide-based inverter,advancing the concept with a build-ing-block approach and increasingcomponent integration to achievehigher performance targets andultimately expand its commercialpotential,” says Demmerle. “Weexpect the number of high-voltageEVs to sharply increase in the coming years, and this scalable,high-power-density inverter willhelp expedite adoption.” www.borgwarner.com

BorgWarner receives $4.97m US DOE award for high-power-density inverter Project to develop smaller, more power-dense and cost-effective solution

BorgWarner is providing a new,high-voltage silicon carbide (SiC)inverter to a large Germanautomaker for implementation inits next-generation electric vehi-cles, representing “the next stageof a long-standing cooperation”.The 800V SiC inverter with propri-etary power switches provides amore compact and efficient powermodule, resulting in higher electricvehicle range and enhanced driv-ing performance. “Our SiC inverter, with its new

state-of-the-art power module,can be a game changer forautomakers since the new technol-ogy offers enhanced power density,proven performance, and

long-term reliability,” reckons Dr Stefan Demmerle, president &general manager, BorgWarnerPowerDrive Systems. The SiC inverter uses a scalable

power switch for 800V systems,allowing it to be optimized for avariety of customer applications atdifferent power levels. The SiCdesign builds on BorgWarner’sproven cooling technology thatenables a reduced semiconductorarea for cost-effectiveness. Addi-tionally, the system offers greaterdurability and enhanced packagingdue to its compact, patentedpower switch that is cooled onboth sides and uses no wire bonds.The silicon IGBTs in the modular

power switch have been replacedby SiC transistors. Because SiChas higher switching efficiency andfewer conduction losses, the newSiC inverter has reduced powerlosses of 40-70% compared withsilicon-based based inverters,depending on the drive cycle.Due to its higher power density

and higher efficiency, the inverterallows OEMs to design 800V high-power propulsion systems that arecharacterized by enhanced drivingperformance, longer battery electricranges, and faster charging times.These enhancements can ultimatelyfurther efforts to broaden consumeracceptance of electric mobility,reckons BorgWarner.

BorgWarner to provide new 800V SiC inverter forGerman OEM’s electric vehicles

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

22

Nexperia BV of Nijmegen, Netherlands — which manufacturesdiodes, bipolar transistors, ESDprotection devices, MOSFETs, gallium nitride (GaN) field-effecttransistors (FETs) and analog & logicICs — has announced its entry intothe high-power silicon carbide (SiC)diode market with the introductionof 650V, 10A SiC Schottky diodes.This is a strategic move for Nexperia,which already supplies power gallium nitride (GaN) FETs, toexpand its range of high-voltagewide-bandgap semiconductordevices. Nexperia’s first SiC Schottky diode

is an industrial-grade device with650V repetitive peak reverse voltage(VRRM) and 10A continuous forwardcurrent (IF), designed to combineultra-high performance and highefficiency with low energy loss inpower conversion applications. Providing the added benefit of ahigh-voltage compliant real 2-pin(R2P) package with higher creep-age distance, it is available in achoice of surface-mount (DPAK R2Pand D2PAK R2P) or through-hole

(TO-220-2, TO-247-2) devices.Engineering samples are available onrequest, with a full product releaseplanned for second-quarter 2022.Nexperia plans to continuouslyincrease its portfolio of SiC diodes,leading to a total of 72 productsoperating at voltage levels of 650Vand 1200V and with currents in therange 6–20A. “Wide-bandgap semiconductors

like gallium nitride and silicon carbideare now well placed to meet thestringent needs of high-volumeapplications, bringing the promiseof higher efficiency, greater powerdensity, lower system cost andreduced operating costs for original

equipment manufactur-ers,” says Mark Roelof-fzen, general manager ofthe firm’s Bipolar Dis-cretes Group. “Nexperia’sdiverse portfolio of SiCdiodes will bring greaterchoice and availability tothis market,” he adds. The new SiC Schottky

diodes initially targetindustrial and consumer

applications including: switch-modepower supplies (SMPS); AC–DC andDC–DC converters; battery charginginfrastructure; uninterruptible powersupplies (UPS); and photovoltaicinverters. Nexperia also plans to release

automotive-grade devices for usein-vehicle electrification applicationssuch as on-board chargers (OBC),inverters, and high-voltage DC–DCconverters. The new PSC1065H (-J/-K/-L) is the

first in a portfolio of SiC Schottkydiodes that Nexperia is developingto address the automotive andindustrial markets. www.nexperia.com

Nexperia expands wide-bandgap range by enteringhigh-power silicon carbide diode market Industrial-grade 650V, 10A SiC Schottky diode sampled; 1200V/6–20A and automotive-grade parts planned

Engineered materials and optoelec-tronic component maker II-VI Incof Saxonburg, PA, USA – whichmanufactures silicon carbide (SiC)substrates – has been selected byChina’s Dongguan Tianyu Semicon-ductor Technology Co Ltd (whichspecializes in the R&D, design andmanufacturing of SiC epitaxialwafers) as its primary strategicpartner for the supply of 150mmSiC substrates for power electronicsapplications. II-VI says that Tianyu (one of

China’s largest SiC epiwafer manu-facturers) has recognized it as along-established global supplier of150mm SiC with the ability toachieve scale along with an aggres-sive 200mm substrate roadmap.“Tianyu will immediately benefitfrom our 150mm SiC global pro-duction capacity in the US and inChina,” says Sohail Khan, executiveVP of II-VI’s New Ventures & Wide-Bandgap Electronics Technologiesbusiness unit. “II-VI is a world-class supplier of

high-quality 150mm silicon carbidesubstrates,” comments Tianyu’sgeneral manager Li Xiguang.“Together, Tianyu and II-VI will pro-vide the high-quality and reliablesupply chain and future 200mmcapability that will be critical tosupport the rapidly growingdemand for SiC power electronicsin the mega-markets of electricvehicles, renewable energy, smartgrids, micro-grids, and power sup-plies for data networks.” www.sicty.com

Chinese epi firm Tianyu chooses II–VI as primarysilicon carbide substrate supplier 150mm wafers targeted at power electronics, to be followed by 200mm

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

23

Japan-based power semiconductormaker ROHM Co Ltd has been certi-fied as a preferred supplier of sili-con carbide (SiC) power solutionsby China’s largest tier-1 automotivemanufacturer United AutomotiveElectronic Systems Co Ltd (UAES, a joint venture established in 1995by China’s Zhonglian AutomotiveElectronics Co Ltd and Germany’sRobert Bosch GmbH). ROHM and UAES have been col-

laborating and engaging in detailedtechnical exchanges on the devel-opment of automotive applicationsutilizing SiC power devices since2015. In 2020 ROHM established a joint

SiC technology laboratory at UAES’headquarters in Shanghai. Goalsinclude strengthening cooperationon the development of power solu-tions centered on SiC, and massproduction of automotive productsequipped with ROHM SiC powerdevices such as onboard chargersfor electric vehicles (EVs). More recently, ROHM’s SiC power

device performance – along with

power solutions that includeperipheral components – werehighly evaluated, resulting in ROHMbeing selected as the first preferredsupplier in this field, it is reckoned.ROHM SiC power devices are alsobeing used in inverter modules cur-rently being developed by UAES toextend the cruising range of electricvehicles and reduce battery size. Inthe future, the two companies aimto continue to accelerate the devel-opment of SiC power solutions toachieve technical innovation forelectric vehicles. “The high rating that ROHM

devices and peripheral solution pro-posals have received has led toROHM being selected as a preferredsupplier,” says UAES’ deputy gen-eral manager Guo Xiaolu. “For us atUAES, vehicle applications utilizingSiC are an important business thatis about to go into full swing, andwe have now entered a concretestage of mass production of SiC-equipped inverters and other prod-ucts. We would like to thank ROHMfor the previous efforts and look

forward to building a long-term collaborative relationship using this certification as a new start,” he adds. “As the automotive market moves

quickly towards electrification, wesee an important opportunity tohelp UAES develop advanced appli-cations that meet customer needs,”says Dr Kazuhide Ino, managingexecutive officer, chief scientificofficer and director of Accounting &Finance Headquarters, ROHM CoLtd. “ROHM offers a broad range ofproducts in addition to SiC, includingIPMs [intelligent power modules],IPDs [integrated passive devices],gate drivers and LED drivers thatare ideal for onboard inverters and chargers,” he adds. “We lookforward to deepening our relation-ship with UAES and promoting thedevelopment of a wide range ofproducts utilizing ROHM’s cutting-edge technologies that will con-tribute to the further evolution ofelectric vehicles.” www.rohm.com www.uaes.com

UAES makes ROHM preferred supplier of SiC power devices

The Institute of Microelectronics(IME) at Singapore’s Agency forScience, Technology and Research(A*STAR) and STMicroelectronics(ST) of Geneva, Switzerland havestarted an R&D collaboration on silicon carbide for power electronicsapplications in automotive andindustrial markets. A*STAR reckonsthe collaboration sets a foundationfor a comprehensive SiC ecosystem inSingapore and creates opportunitiesfor other companies to engage withIME and ST in SiC research. Since SiC solutions can outper-

form conventional silicon devices inpower electronics for electric vehicles(EVs) and industrial applications inmeeting the need for power moduleswith smaller form factors or higher

power outputs (as well as higher-temperature operation), IME andST aim to develop and optimize SiCintegrated devices and packagemodules to offer significantly betterperformance in next-generationpower electronics. “Such efforts will continue to

anchor high-value R&D activities inSingapore and bolster its reputa-tion as an attractive regional hubfor research, innovation and enter-prise,” reckons professor Dim-LeeKwong, IME’s executive director. “This new collaboration with IME

encourages the growth of a siliconcarbide ecosystem in Singapore, aswe ramp up our manufacturingactivities there in addition to Catania (Italy),” says Edoardo Merli,

Power Transistor Macro-Divisiongeneral manager and VP of STMicroelectronics’ Automotive andDiscrete Group. “The multi-yearcollaborative effort helps us scaleup our global R&D effort across ourexisting programs managed out ofCatania and Norrköping (Sweden),covering the entire SiC value chain,”he adds. “IME’s strong knowledgeand expertise in wide-bandgapmaterials, and notably SiC, supportsus in accelerating the developmentof new technologies and productsaddressing the challenges of sustainable mobility and betterenergy efficiency in a wide spectrumof applications.” www.st.com www.a-star.edu.sg/ime/Research/

ST and A*STAR’s IME team on silicon carbide R&Dfor automotive and industrial power electronics Integrated devices and package modules to be developed and optimized

For its fiscal first-quarter 2022(ended 26 September 2021), Wolfspeed Inc (formerly Cree Inc)of Durham, NC, USA has reported afifth consecutive quarter of revenuegrowth (for continuing operations) to$156.6m, exceeding the $144–154mguidance range. This is up 7.4% on$145.8m last quarter and 35.6%on $115.5m a year ago, bolsteredby the rapidly expanding marketfor silicon carbide products. “We are driving the transition to

silicon carbide-based solutions dur-ing a period of momentous change,which is demonstrated by ourexpanding list of customers andformal name change,” says CEOGregg Lowe. After divesting its Lighting Products

business in May 2019 and its LED Products business in March, on4 October Cree changed its nameto that of its Wolfspeed businessunit, focused on manufacturing silicon carbide materials as well asboth silicon carbide (SiC) and gallium nitride (GaN) power-switching& RF semiconductor devices. Power device revenue was up

57% year-on-year in fiscal Q1.“Momentum continued to build asour customers have a demonstratedneed for our products,” states chief financial officer Neill Reynolds. “For RF, we continue to see good

activity on the 5G front, but per-formance was slightly muted due tooutput challenges,” says Reynolds.“We did see some supply constraintsand some lower productivity duringthe quarter as our Malaysian contract manufacturer continued toramp activities back up followingthe recent COVID-19 outbreak[which led to a seven-day closurereducing last quarter’s revenue by$3–5m]. At this time, we do notexpect any additional impact and to avoid shutdown as the factorycontinues to ramp towards the normal production schedule,” headds.

“For materials, we saw betterorder flow during the quarter, whichwe expect will continue for theremainder of the fiscal year,” saysReynolds. Driven by the solid performance

in materials and improving SiCMOSFET cost and yields, non-GAAPgross margin has risen from 32.3%last quarter to 33.5% (at the topend of the 31.5–33.5% guidancerange) . However, this is still downfrom 35% a year ago. “We view thegross margin impact as short-termin nature due to the sub-optimaldevice production footprint we havein North Carolina, and we expect itto modestly improve going forwardas we work through factory transi-tions and improve yields,” saysReynolds. In May 2019, Cree began a

multi-year factory optimization plan.“In Durham, we have a majorexpansion underway right now to continue the growth of our [silicon carbide] materials capacity,”says Lowe. “The space, conversionand re-setup is actively being converted from an old lighting andoffice space into industrial space forsignificant growth of our crystalgrowth and epi capability [part of aplan to increase materials capacityby 30x]. Our expansion enabled usto increase the number of growers,and take advantage of our continuedcrystal growth technology improve-ments, which increased productionyield.” Also, in September 2019Cree announced that the plan wasbeing anchored by a new automated200mm SiC device fabrication facility at Marcy in Mohawk Valley,New York State (expanding fromthe firm’s existing 150mm-waferSiC device fab in Durham). Due largely to R&D, including

investment in the 200mm pilot linesupporting the ramp-up of the newMohawk Valley Fab, operatingexpenses rose further, from $82m last quarter to $86m.

Start-up costs, primarily related tothe ramp at the Mohawk Valley Fab,were about $8.6m in fiscalQ1/2022. They are expected to risethroughout the remainder of thefiscal year (coming mostly in thesecond half, through qualifying andramping up the Mohawk ValleyFab), amounting to a total of about$80m for full-year fiscal 2022. Net loss has been cut from

$26.9m ($0.23 per diluted share)last quarter to $23.8m ($0.21 perdiluted share), better than theguidance range of $25–29m($0.21–0.25 per diluted share). Cash outflow from operations was

–$62.5m. Capital expenditure(CapEx) was $208.5m (up from$168.1m last quarter and $113.5m ayear ago). Patent spending was $1m.Free cash outflow was hence –$272m(up from –$114m a year ago). Cash, cash equivalents and short-

term investments has hence fallenfrom $1154.6m, but still Wolfspeedhas $857.8m of cash and liquidityon hand to support growth. “Wewill be opportunistic from a capitalmarket standpoint to ensure wecan have the flexibility to invest aswe see fit, to continue to underpinour position in the market, and fuelfuture growth,” says Reynolds. “We remain confident that the busi-ness is well positioned to realize itsfull potential as a pure-play globalsemiconductor powerhouse,” addsLowe. “Our power business continues

to see strong demand from theautomotive markets, and we arealso encouraged by the increasingdemand across a number of industrial and energy customers,”says Lowe. “The strength of ourdevice opportunity pipeline, whichnow is about $18bn, underscoresthe significant demand we’re seeingnot only for automotive power, butalso in RF, industrial and energysolutions,” he adds. “At our 2019Investor Day, we showed a $9bn

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

24

Wolfspeed quarterly revenue up 35.6% year-on-year Growth sustained despite impact of COVID on RF device output at Malaysian contract manufacturer

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

25

device opportunity pipeline. So, wedoubled the pipeline in the last twoyears and now have more than8200 potential projects. And theteam continues to identify addi-tional opportunities at a rapid pace.Meanwhile, the sales team is con-verting these opportunities at animpressive rate, with approximately$560m of design-ins awarded duringthe last quarter [and $2.9bn in fiscal 2021]. A significant portion of these [about half] were for automotive inverters, while we alsocontinued to secure other interest-ing applications, including a lowcharger for electric vehicles, an ele-vator, energy storage products, andan induction cook top.” For fiscal second-quarter 2022

(to end-December 2021), Wolfspeedexpects revenue to grow to$165–175m, driven by strengthacross all product lines, but particularly power devices. Gross margin should rise to33.7–35.7%. Operating expense aretargeted to rise slightly to $88m(after which they should continueto rise modestly each quarter asWolfspeed invests in R&D and sales & marketing resources, saysReynolds). Net loss is targeted tobe cut to $19–23m ($0.16–0.20per diluted share). “We are continuing to experience

a significantly steeper demandcurve from our customers for SiCproducts than we had initiallyexpected,” notes Reynolds. “Thishas led to supply constraints, forsome customer orders will not befulfilled in fiscal year 2022, andchannel inventory levels will remainlow, until we ramp our productionin our Mohawk Valley Fab,” he adds.“We’re confident that we will beable to meet the high demand. Butin the meantime we are continuingto accelerate CapEx capacityinvestments and our team is work-ing hard to improve output in ourDurham facilities. We are anticipatingnet capital expenditures of about$475m for the year, with Q1 repre-senting the peak investmentperiod. We’ll start to see a modeststep-down beginning in Q2 and

continuing throughout the secondhalf of the year as we receive morereimbursements [from the state ofNew York] for the Mohawk Valleyconstruction [the firm has so farreceived only about $60m of thepending $500m reimbursements].We continue to pull capacity expen-ditures where we can at the fiscalyear 2022 to better support thesteepening demand curves. Weremain on track to operationalizethe world’s largest silicon carbidefab in the first half of calendar year2022,” he adds. “Our massive device pipeline and

continued success securing design-ins continues to give us confidencein our ability to achieve our targetrevenue for fiscal 2024 of $1.5bn,with current demand trends offer-ing some potential upside based onthe steepening demand for siliconcarbide through 2024 and beyond,”says Lowe. “Our strategy is furthersupported by developments in thebroader market. Global electricvehicle sales are expected to beover 6 million this year according toconsulting firm Wood Mackenzie.Electric vehicle sales in first-half2021 nearly tripled worldwide com-pared to thefirst half oflast year.The share ofelectric veh-icle salesand theglobal pas-senger carsales dou-bled com-pared to thesame periodlast year…And, asmore OEMsand tier-1sleverage sili-con carbide-basedsolutions forpowertrain,on-boardchargers andoff-boardfast charg-

ers, which increases the vehicle’srange and reduce charge times, weexpect the adoption rates to con-tinue to increase,” adds Lowe. “Weremain well-positioned to capitalizeon these opportunities as we are in the midst of an increase in manu-facturing capacity, including bring-ing online the world’s largest SiCfab in a matter of months. In fact,we believe our capacity expansionefforts were a critical factor that ledGeneral Motors to choosing us toprovide power device solutions forits future electric vehicle programs[as announced on 4 October]. Oursilicon carbide devices will enableGM to install more efficient EVpropulsion systems in several different models. That will extendthe range of its rapidly expandingEV portfolio,” continues Lowe. “The combination of Wolfspeedglobal leadership in silicon carbideand GM’s commitment to an all-electric future — including a plan tolaunch 30 electric vehicles globallyby the end of 2025 — establishes apowerful partnership.” “The key to our gross margin

transition from the low 30% to50% plus relies heavily on our fab cost footprint transition fromNorth Carolina to Mohawk Valley,”notes Reynolds. “As we transitionto that new footprint and qualifythe factory in 2022 and drive rev-enue growth into 2023 and beyond,we will see the benefits of increasingproduction from our advanced200mm fab,” he adds. “Wafer processing costs at Mohawk Valleyare expected to be more than 50%lower than Durham, not fully includ-ing the benefit from the diameterchange from 150mm for 200mm.In addition, we expect cycle timesin Mohawk Valley to be more than50% better than in Durham, andyields in Mohawk Valley to be20–30 points higher than where weare in Durham today. We’re alreadyseeing good evidence from ourMohawk Valley pilot lines to supportthese projections, and anticipate aheavy margin improvement as wemove to our new fab.” www.wolfspeed.com

Costs at MohawkValley areexpected to bemore than 50%lower thanDurham, not fullyincluding thebenefit from thediameter changefrom 150mm for200mm. Weexpect cycletimes in MohawkValley to bemore than 50%better, andyields in Mohawk Valleyto be 20–30points higher

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

26

Microchip Technology Inc of Chandler, AZ, USA has significantlyexpanded its gallium nitride (GaN)radio frequency (RF) power deviceportfolio with new monolithicmicrowave integrated circuits(MMICs) and discrete transistorsthat cover frequencies up to 20GHz.The devices combine high power-added efficiency (PAE) andhigh linearity to deliver new levelsof performance in applicationsranging from 5G to electronic war-fare, satellite communications,commercial and defense radar sys-tems and test equipment. Like all Microchip GaN RF power

products, the devices are fabricatedusing GaN-on-silicon carbide tech-nology that provides the best com-bination of high power density andyield, as well as high-voltage operation and longevity of morethan 1 million hours at a 255ºCjunction temperature. They include GaN MMICs covering

2–18GHz, 12–20GHz and 12–20GHz

with 3dB compression point (P3dB)RF output power up to 20W andefficiency up to 25%, as well asbare die and packaged GaN MMICamplifiers for the S- and X-bandswith up to 60% PAE, and discretehigh-electron-mobility transistor(HEMT) devices spanning DC to14GHz with P3dB RF output powerup to 100W and maximum effi-ciency of 70%.“Microchip continues to invest in

our family of GaN RF products tosupport every application at all fre-quencies from microwave throughmillimeter wavelengths, and ourproduct portfolio includes morethan 50 devices, from low power

levels to 2.2kW,” says Leon Gross,VP of Microchip’s discrete productsbusiness unit. “Together, the products announced today span2–20GHz and are designed to meetthe linearity and efficiency chal-lenges posed by the higher-ordermodulation techniques employed in 5G and other wireless networks,as well as the unique needs ofsatellite communications anddefense applications.” Microchip provides board design

support to help with design-ins, asdo the firm’s distribution partners.It also provides compact models for the new GaN products that letcustomers more easily model performance and expedite thedesign of the power amplifiers intheir systems.The new power devices include

the ICP0349PP7-1-300I andICP1543-1-110I, as well as otherMicrochip RF products, and areavailable in volume production. www.microchip.com

Microchip expands GaN RF power portfolioNew MMICs and discrete devices deliver performance required in 5G, satcoms and defense applications

Japan’s Sumitomo Electric Indus-tries Ltd and its group companySumitomo Electric Device Innova-tions USA Inc of San Jose, CA, USA,a provider of radio frequency (RF),wireless and optical communicationssolutions, has introduced its line ofhigh-power gallium nitride (GaN)products for X-band radar appli-cations. Next-generation X-band radars

face significant size, weight, powerand cost (SWAP-C) challenges, notesSumitomo Electric Industries.Among the challenges are the RF power amplifier designs used in these radar systems. Since GaN provides very high

power and bandwidth thatimproves performance and is aproven and reliable technology

for radar applications, SumitomoElectric has hence developed a lineof high-power GaN X-band devicesthat enable RF solid-state poweramplifiers to meet the SWAP-Cchallenges of these new radarswhile also improving reliability versus tube amplifiers. The new product line comprises

five new X-band GaN devices (with efficiencies of 37–38%): the 8.5–9.5GHz SGC8595-300B-R(with output power of 270W below9.17GHz and 235W above 9.17GHz,and gain of 8.3dB below 9.17GHzand 7.7dB above 9.17GHz) in ahermetic metal/ceramic flangemount package; the 9.0–10.0GHz SGC0910-300B-R(with output power of 270W below9.6GHz and 235W above 9.6GHz,

and gain of 8.3dB below 9.6GHzand 7.7dB above 9.6GHz) in a hermetic metal/ceramic flangemount package; the 9.8–10.5GHz SGC1011-300B-R(with output power of 250W below10.3GHz and 235W above 10.3GHz,and gain of 8.0dB below 10.3GHzand 7.4dB above 10.3GHz) in ahermetic metal/ceramic flangemount package; the 9.2–9.5GHz SGM6906VU(with output power of 43.7W, andgain of 21.4dB) in a hermeticallysealed SMT package; the 8.5–10.1GHz SGM6901VU(with output power of 24W below10.1GHz and 21.4W above 10.1GHz,and gain of 23.3dB) in a hermeti-cally sealed SMT package. www.sedi.co.jp

SEI launches high-power GaN devices for X-band radar Devices span output powers of 24–270W with efficiencies of 37–38%

Achieving accurate and dependable silica monitoring shouldn’t be a struggle. Ensure high wafer yield with zero defects using the simple and reliable METTLER TOLEDO 2850Si Analyzer.

• Intuitive operation with predictive diagnostics and a simple user interface for hassle-free monitoring

• Easy maintenance with 75% less reagent usage delivering more analyzer uptime

• Accurate silica monitoring with fast, temperature-controlled measurement cycles

www.mt.com/2850Si-STLearn more:

Introducing A Silica Analyzer You Can Trust To Ensure High Quality Ultrapure Water

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

28

For third-quarter 2021, galliumnitride (GaN) power integrated circuit firm Navitas Semiconductorof El Segundo, CA, USA and Dublin,Ireland has reported revenue of$5.6m, up 61% on $3.5m a yearago, reflecting the expansion of itsproduct and end-customer base.Total sales volume rose by 103%,from 3 million to 6.1 million GaN ICs shipped. In total, over 30 million have now been shipped,with zero reported field failures. Founded in 2013, Navitas intro-

duced what it claimed to be thefirst commercial GaN power integ-rated circuits. Its proprietary GaNFast power ICs monolithicallyintegrate GaN power field-effecttransistors (FETs) and GaN driveplus control and protection circuitsin a single SMT package. GaNFastpower ICs have been integrated inover 135 mobile chargers, includingfast chargers from Xiaomi, Dell,Lenovo, LG, Amazon, OPPO, Anker,Belkin and dozens of other majorOEMs. An additional 150 GaNchargers are in development acrossall mobile customers worldwide. On a non-GAAP basis, gross margin

has grown from 37.6% a year agoto 46.2% in Q3/2021. However, netloss was $6.6m ($0.39 per share),up from $3.8m ($0.26 per share). After using cash to fund operations,

meet working capital requirements,for capital expenditures and strategic

investments, total cash and cash-equivalents fell by $27.8m duringthe quarter to $11.1m. Total debtoutstanding rose by $1.7m to $7.7m. “We expect to continue to incur

net operating losses and negativecash flows from operations and weexpect our R&D expenses, generaland administrative expenses andcapital expenditures will continue toincrease,” says the firm. “Weexpect our expenses and capitalrequirements to increase in con-nection with our ongoing initiativesto expand our operations, productofferings and end-customer base.” After the quarter, on 19 October,

Navitas completed its de-SPACbusiness combination with Live OakAcquisition Corp II — a publiclytraded special-purpose acquisitioncompany (SPAC). Navitas’ commonshares and warrants begin tradingon 20 October on the NasdaqGlobal Market (under the tickersymbols ‘NVTS’ and ‘NVTSW’), whenCEO & co-founder Gene Sheridanrang the opening bell at the NasdaqMarketSite. Gross proceeds raisedin the business combination trans-action were $325m. With about117.7 million shares outstanding,Navitas has $260m in cash andcash equivalents to support growth. Navitas expects that the additional

capital will accelerate productdevelopment and expansion fromits industry-leading position in GaN

mobile fast chargers into consumer,enterprise, solar and electric vehicle(EV) markets, as well as providingfunds for non-organic growth. “Weexpect our historical focus on near-term working capital and liquidityto shift to more strategic and for-ward-looking capital optimizationplans,” says Navitas. “The influx ofcapital from the business combi-nation is sufficient to finance ouroperations, working capital require-ments and capital expenditures forthe foreseeable future.” The firm’spersonnel strength has grown byabout 50%, to about 150 staffworldwide. Also, new offices andteams in China and Europe areaddressing expansion markets. For fourth-quarter 2021, revenue

is expected to grow about 60%year-on-year to $7.4m (±5%).Gross margin should be about 44%. “Worldwide GaN penetration in the

$2bn fast-charger market is estimatedat only 2–3% so far, so we expect afast revenue ramp ahead in mobileplus the higher-power expansionmarkets of data center, solar and EV,”says co-founder & CEO Gene Sheri-dan. “Couple that with next-gentechnology introductions, growingteam strength and more than 130patents issued or pending, and wehave an extraordinary opportunity infront us is to become the next-gen-eration power semiconductor leader.” www.navitassemi.com

Navitas doubles sales volume year-on-year in Q3 Cash reserves of $260m to speed product development and expansion

Navitas has concluded a prepaid,forward-purchase transaction,resulting in the removal of restric-tions affecting $30m of cash onthe company’s balance sheet and3,000,000 outstanding shares ofNavitas common stock. On 6 October, Navitas (then known

as Live Oak Acquisition Corp II(LOKB)) entered into a prepaidforward-purchase agreement withan affiliate of privately held, SEC-registered alternative investment

advisory firm Atalaya Capital Man-agement LP. Per the agreement,Atalaya had the right to purchaseup to 3,000,000 LOKB shares fromshareholders who had redeemedshares, or indicated an interest inredeeming shares, prior to theclosing of LOKB’s business combi-nation transaction with Navitas.The agreement provided for Ata-laya to sell the shares it purchasedto Navitas within two years, at theredemption price in effect prior to

closing. Atalaya also had the rightto sell the shares to others duringthe two-year term, concluding theforward purchase obligations withNavitas in an amount correspon-ding to the number of shares sold. On 18 November, Atalaya notified

Navitas that it had sold all3,000,000 shares covered by theagreement in open-market trans-actions. As a result, a total ofabout $30m has been remitted toNavitas, free of any restrictions.

Navitas concludes $30m forward-purchase transaction

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

29

Gallium nitride (GaN) power integ-rated circuit firm Navitas Semicon-ductor of El Segundo, CA, USA andDublin, Ireland has launched itsthird-generation GaNFast powerICs with GaNSense technology.GaNSense integrates critical, real-time autonomous sensing and pro-tection circuits, further improvingreliability and robustness whileincreasing the energy savings andfast-charging benefits of Navitas’GaN IC technology. GaNSense technology integrates

real-time, accurate and fast sens-ing of system parameters includingcurrent and temperature. Thisenables a patent-pending loss-lesscurrent-sensing capability, whichimproves energy savings by up toan additional 10% compared withprior generations, as well as furtherreducing external component countand shrinking system footprints. In addition, if the GaN IC identifiesa potentially dangerous systemcondition, it is designed to transitionrapidly to a cycle-by-cycle sleep-state, protecting both the deviceand the surrounding system.GaNSense also integrates anautonomous standby-power featurethat automatically reduces standbypower consumption when the GaN IC is in idle-mode, helping tofurther reduce power consumption,which is especially important to thegrowing list of customers aggres-sively pursuing their own environ-mental initiatives. With what is claimed to be the

industry’s tightest current-meas-urement accuracy and GaNFastresponse time, GaNSense technol-ogy means reducing dangerousover-current spikes by 50% andreducing time in the ‘danger zone’by 50% compared with previousbest-in-class solutions. GaNFastmonolithic integration deliversdependable, glitch-free operation

with no ‘ringing’, for improved sys-tem reliability, says Navitas. “From detection to protection in

only 30ns, GaNSense technology is600% faster than discrete GaNimplementations,” reckons chiefoperating officer/chief technologyofficer & co-founder Dan Kinzer.“This next generation from Navitasprovides a highly accurate andeffective defense against potentialsystem failure modes. Couple thatwith immunity to transient voltagesup to 800V and tight gate wave-form control and voltage regula-tion, only possible with ourproprietary process design kit, andyou have a new standard in reliabil-ity, robustness and performance forpower semiconductors,” he claims. The new family of GaN power ICs

with GaNSense technology spans10 products, which all have thecore, critical GaNFast integration ofGaN power, GaN drive, control andprotection. All are rated at650V/800V with 2kV ESD protection,and RDS(ON) of 120–450mΩ in 5mm x 6mm and 6mm x 8mmPQFN packaging… with theGaNSense protection circuits andloss-less current-sensing. This family of third-generation GaN ICsis optimized for modern power con-version topologies including high-frequency quasi-resonant (HFQR)

flyback, active-clampflyback (ACF) and PFC(power factor correc-tion) boost, which arepopular for deliveringthe fastest, most efficient and smallestchargers andadapters in the mobile and consumermarkets. Target markets

include fast-chargersfor smartphones andlaptops, with an esti-

mated GaN potential of $2bn/year,and another $2bn/year consumermarket including all-in-one PCs,TVs and home networking andautomation. GaNSense technologyis already used in Lenovo’s YOGA65W laptop charger. To date, over 30 million GaNFast

power ICs have shipped, achievingover 116 billion device hours in thefield — with zero reported GaN fieldfailures. Each GaNFast power ICshipped has a 4–10x reduced carbonfootprint and saves 4kg of CO2

compared with legacy silicon chips,it is reckoned. GaNFast power ICs with GaNSense

technology were showcased at thefollowing events in November: WiPDA 2021 (virtual) byCOO/CTO & co-founder Dan Kinzer; CPSSC 2021 (Shanghai) by Dr Xiucheng Huang, senior director,Applications; PSMA Power TechnologyRoadmap (virtual) by Dan Kinzer. GaNFast power ICs with

GaNSense technology are in massproduction with immediate avail-ability. Full technical details of thenew GaNSense technology, includingdatasheets, qualification data,application notes and samples areavailable to customer partners undernon-disclosure agreement (NDA). www.navitassemi.com

Navitas launches third-generation GaN power ICwith GaNSense technologyReal-time autonomous sensing and protection circuits improvereliability and robustness while boosting energy savings and fast-charging

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

30

Gallium nitride (GaN) power integ-rated circuit firm Navitas Semicon-ductor of El Segundo, CA, USA andDublin, Ireland and consumer elec-tronics firm Anker Innovations ofChangsha, Hunan, China havesigned a new strategic partnership. Anker and Navitas have an estab-

lished relationship, starting in 2017when Anker was one of the firstcompanies to create fast-chargerprototypes and then qualify thenew GaN technology. The 2019Anker PowerCore Fusion PD combi-nation charger and portable powerbank used Navitas’ GaNFast powerICs to reach higher levels of effi-ciency and power density. “Having engineering teams from

Anker and Navitas sitting side byside on a daily basis will greatlyimprove the efficiency of the prod-uct development process, translat-ing into faster and better chargersfor our customers,” believes AnkerInnovations’ CEO Steven Yang.

The new agreement dedicatesengineering teams from both Navi-tas and Anker to be co-located atAnker offices to develop and launchGaNFast chargers to acceleratetime-to-market. The initial focuswill be on next-generation mobilechargers but will expand into thehigh-growth energy-storage markets.The deal also provides a longerplanning horizon for co-operativemarketing across all media plat-forms and in-person events, suchas January’s Consumer ElectronicsShow (CES) in Las Vegas. “As both a long-term customer

and investor in Navitas, Anker hasdemonstrated a passion for innova-tion and vision for the future ofcharging,” says Navitas’ co-founder& CEO Gene Sheridan. “Mobilecharging is a $2bn target marketfor GaN power ICs, and workingwith Anker on energy storage isaligned with our renewable/solarenergy expansion strategy.”

The first two fast chargersreleased under the new partnershipare the 30W USB-C and 65W 2C+Achargers in Anker’s collaborationwith the ‘League of Legends’ multi-player online battle arena videogame, played on phones, tabletsand laptops. With fast action andintensive, detailed graphics, thegame has a high draw on batterypower, and fast charging — withportability — is crucial. At only 28mm x 29mm x 32mm,

the 30W USB-C ‘Jinx’ is a similarsize to the original 5W so-called‘sugar-cube’ phone charger and70% smaller than the standard silicon-based 30W. The 65W 2C1A ‘Yasuo’ charger

has 2x USB-C and 1x USB-A port to simultaneously charge threedevices (such as a phone, head-phones and a laptop) with enoughpower for high-performance gaming laptops. www.navitassemi.com

Navitas’ co-founder & chief operatingofficer/chief technology officer DanKinzer hosted a keynote session atthe IEEE WiPDA (Wide BandgapPower Devices & Applications) vir-tual workshop (7–11 November). Sponsored by the IEEE Power

Electronic Society (PELS), the IEEEElectron Devices Society, and thePower Sources ManufacturersAssociation (PSMA), the eighthannual IEEE WiPDA workshop pro-vides a forum for device scientists,circuit designers and applicationengineers from the Power Elec-tronics & Electron Devices Soci-eties to share technology updates,research findings, experience andpotential applications. During theNavitas keynote, Kinzer updatedthe audience on how innovationsin GaN power ICs are drivingimprovements in efficiency, relia-

bility and autonomy. “The organizing committee is

privileged to invite exceptionalkeynote speakers of industry lead-ers from large semiconductormanufacturers, dynamic and fast-emerging start-ups, academia andnational research laboratories,”comments WiPDA 2021’s generalchair Dr Sameh G. Khalil. “Wewanted this key component ofWiPDA 2021 to highlight the visionand the direction that industryleaders are projecting, share theirhigh-level roadmaps and theirplans for the future,” he adds. “As well as enabling efficiency

improvements that reduce the car-bon emissions of target appli-cations, small die-size, fewermanufacturing process steps andintegrated functionality mean thatGaNFast power ICs have up to 10x

lower CO2 footprint than siliconchips and save 4kg of CO2 per ICshipped when compared to legacysilicon systems,” says Kinzer. Kinzer’s 40-year career led to him

being inducted into the Interna-tional Symposium on Power Semi-conductor Devices and ICs(ISPSD) inaugural Hall of Fame in2018. His experience includesdeveloping advanced power deviceand IC platforms, wide-bandgapGaN and SiC device design, IC and power device fabricationprocesses, advanced IC design,semiconductor package develop-ment and assembly processes,plus the design of electronic systems. Kinzer holds over 130 US patents, and a BSE degree inEngineering Physics from PrincetonUniversity.www.wipda.org

Navitas’ co-founder & COO/CTO gives keynote at IEEE WiPDA

Navitas and Anker sign strategic partnership for next-generation mobile fast chargers New 30W and 65W fast chargers first in a new series

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

31

Singapore-based Gallium Semicon-ductor has launched its Europeanresearch and development centerin Nijmegen, Netherlands. Gallium Semi says that its strate-

gic choice of Nijmegen is based onthe recognition of it being a Euro-pean innovation hub for RF powertechnology with a very talentedpool of engineers. The new R&Dcenter is targeted at GaN semicon-ductor product development,

device design, customer applicationengineering and production support. “Nijmegen is the vibrant heart of

Europe’s RF power technology andour presence here will allow us toexpand our team with world-classtalent,” says Michael Guyonnet,Gallium Semi’s vice president ofNetworks. The firm says that it will use the

Nijmegen R&D center as an oppor-tunity to work closely with global

customers to design, test and com-mercialize RF GaN semiconductorsolutions for 5G mobile communi-cations, aerospace & defense, andindustrial, scientific & medicalapplications. “With so much expert-ise in RF engineering and manufac-turing operations, we are able toleverage the most advanced tech-nology to drive our product devel-opment,” says CEO Kin Tan. www.galliumsemi.com

Gallium Semi chooses Nijmegen for European R&D center Center to support GaN product development, customer applicationsupport and manufacturing operations

Gallium nitride (GaN) power integ-rated circuit firm Navitas Semicon-ductor of El Segundo, CA, USA andDublin, Ireland and CompuwareTechnology Inc (which provideshigh-end, green-energy power sup-plies) have announced a partner-ship to define new benchmarks fordata-center power supplies. With44% of data-center costs beingrelated to power (electricity, powerconversion and cooling), anupgrade to GaN-based data centerscould save over 15TWhr or up to$1.9bn/year, which represents a 6x return on investment in a year, it is reckoned. Founded in 2014, Navitas intro-

duced what it claimed to be thefirst commercial GaN power ICs.Since GaN is reckoned to run up to20x faster than silicon chips, thefirm’s proprietary GaNFast powerICs are said to deliver up to 3xfaster charging or 3x more powerin half the size and weight, andwith up to 40% energy savings, forapplications in the mobile, con-sumer, enterprise (data center,5G), renewables (solar, energystorage) and electric vehicle(EV)/eMobility markets. “GaN is a breakthrough new tech-

nology that is enabling dramatic

reductions in size, energy savingsand power density for data-centerpower supplies,” says Robin Cheng,VP of Compuware’s R&D team.“Navitas is an excellent partnerwith industry-leading GaN IC tech-nology that integrates GaN power,GaN drive, plus control and protec-tion to widen our horizon of GaNand cooperate to create new,breakthrough standards for high-performance computing, as theworld’s demand for data increases,”he adds. Typical data centers using silicon

to process power achieve only 75%end-to-end efficiency from ‘AC-to-processor’. A GaN-based data centeris expected to reach 84% (repre-senting a 36% increase in energysavings). Since 2014, Compuware has

introduced more ‘Titanium-certi-fied’ power supplies than any othercompany, it is claimed. More than a third of the highest-efficiency-grade models certified are fromCompuware, and the firm shipsover 2 million server power supplieseach year, with Supermicro as alead customer. With an estimated$25 of GaN content per power sup-ply, this represents a $50m peryear opportunity.

The new benchmarks are not onlyenabled by GaN technology butalso demanded by legislation suchas the European Union’s ‘Directive2009/125/EC, 2019 Annex’, whichstates that new data-center powersupplies must meet the extreme 80 Plus ‘Titanium’ level of efficiencyfrom 1 January 2023. “Navitas and Compuware are

aligned on extreme efficiency gainsand critical environmental impact,”says Navitas’ CEO & co-founderGene Sheridan. “Together, I amconfident we will set the efficiency,energy consumption and CO2 bench-marks for the industry with thefuture of GaN-based data centers.” Manufacturing a GaN power IC

has up to a 10x lower CO2 footprintthan for a silicon chip and — alsoconsidering the use-case efficiency,material size and weight benefits —then GaN can collectively save 4kgof CO2 for every GaN IC shipped.Overall, GaN is expected to addressa 2.6Gton/year reduction in CO2

emissions by 2050. With over 13 million servers shipped per year,each with over $75 of GaN content,data centers represent an opportu-nity of about $1bn+ per year forGaN. www.navitassemi.com

Navitas and Compuware partner to upgrade data-center power supplies Power supply firm targets EU’s 80 Plus ‘Titanium’ efficiency for 2023

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

32

GaN Systems Inc of Ottawa,Ontario, Canada (a fabless developer of gallium nitride-basedpower switching semiconductorsfor power conversion and controlapplications) has announced aUS$150m growth capital fundinground to accelerate innovation andadoption of GaN technology acrossits automotive, consumer, industrialand enterprise markets. The fundraising round was led by

Fidelity Investments (celebrating75 years since its founding, withUS$4.2 trillion in assets underadministration), joined by newstrategic investor Vitesco Technolo-gies (an international developerand manufacturer of powertraintechnologies for sustainable mobility)plus existing investors includingBMW i Ventures. GaN Systems says it will use the

new funding to fuel the rapid marketpenetration of GaN as global powerelectronics companies shift from

legacy silicon devices to small, low-cost, efficient power systems. Vitesco has announced a broad

strategic partnership with GaN Systems to enable GaN solutionsacross the electric vehicle (EV)platform. This follows GaN Sys-tems’ announcement in Septemberof a capacity agreement with BMW.“By combining our automotive

know-how with our partner’s GaNexpertise, we will be able to reapthe benefits of comprehensivewide-bandgap technology in thecar,” says Thomas Stierle, memberof the executive board and head ofVitesco Technologies’ ElectrificationTechnology business unit. “The demand for higher-perform-

ing, more efficient power electron-ics is growing exponentially, andtraditional silicon solutions cannotkeep up,” says GaN Systems’ CEOJim Witham. “Gallium nitride takesthe baton from legacy silicon toenable smaller platforms to run

cooler and use fewer materials,” headds, claiming that GaN Systems is the only GaN power transistorcompany currently shipping toautomotive, consumer, industrialand data-center customers. “Ourrelationships with industry leadersand our $8bn pipeline tell us thatthe GaN inflection is here, and thetime is now to accelerate invest-ment in the business.” With more than 200 billion device

field hours, global companies(including industry leaders like Dell,Samsung, HARMAN, Siemens, Signify and Philips) rely on GaNSystems’ transistors to reduce lev-els of CO2 emissions and increasethe utility and energy efficiency oftheir power systems. GaN Systemssays that, with just a small changein the electronics design, its tran-sistors enable design engineers tosignificantly decrease the size,weight and power lost by 4x whilereducing overall system costs.

GaN Systems raises $150m in growth capital funding Led by Fidelity, joined by new investor Vitesco plus BMW i Ventures

GaN Systems has announced a strategic partnership with Universal Scientific Industrial Co Ltd(USI) — a subsidiary of Taiwan-based ASE Technology Co Ltd — toco-develop GaN power modules forthe electric vehicle (EV) market.As part of the strategic partnership,USI is acquiring a minority stake inGaN Systems as part of its recent$150m growth capital round. As the electric vehicle shifts from

using legacy silicon power transis-tors to the increased performanceof GaN, power modules are alsotransitioning to meet the demandsof low heat loss and superior volt-age control in the power conversionprocess, notes GaN Systems. GaN power modules enable higherefficiency, increased EV range, andincreased EV performance, thefirm notes. “We have worked with GaN Sys-

tems for years and are impressedwith the company’s leadership inhigh-reliability, automotive-qualifiedGaN — an essential building blockfor EVs,” comments JP Shi, USI’ssenior VP of strategic investment.“We look forward to building opti-mized and highly efficient modulesthat will be the cornerstone ofbest-in-class DC–DC converters,on-board chargers, and tractioninverters.” The heart of the EV traction

drivetrain is the semiconductorpower module. USI has more than20 years of experience in powerelectronics manufacturing of variouspackage types and high-reliabilitymodules, including power modules,IPMs (intelligent power modules),DC/DC converters, and RF powertransistors. It has been recognizedby OEMs and tier-1 suppliers in theautomotive sector by introducing

the automotive functional safetystandard ISO26262 and being certified with Chapters II and VIIfor manufacturing. “With today’s announcement,

USI joins our ecosystem of part-ners working to bring leading GaNtechnology to EVs,” says StephenCoates, general manager & VP ofoperations at GaN Systems.“Through this partnership, webring together USI’s leadership incomplex, high-power integratedmodules with our high-reliability GaNsemiconductor technology to buildtruly disruptive, game-changingmodules for next-generation EVs,”he adds. “Building on our deepindustry relationships with leaderslike BMW, Toyota, and Vitesco,GaN Systems and USI will worktogether to accelerate GaN adoptionacross the EV platform.” www.gansystems.com

USI partnering to co-develop power modules for EVs USI acquiring minority stake as part of growth capital round

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

33

Hong Kong-based HG Semiconductorsubsidiary FastSemi Holding Ltd hasinvested in GaN Systems, and agreedto invest by subscribing for seriesF-2 convertible preference shares inGaN Systems as a strategic investor. GaN Systems’ current growth capital

funding round (to raise $150m) isaimed at accelerating innovationand adoption of GaN technologyacross its automotive, consumer,industrial and enterprise markets.HG’s strategic investment is alsojoined by existing investor BMW i Ventures, along with Fidelity,Vitesco Technologies and others.Following this investment, HG willbe able to nominate an independentboard observer from Canada to beon the board of GaN Systems. HG’s activities include the design,

development, manufacturing, subcontracting services and sale ofLED beads, LED lighting products,fast-charging products and a newgeneration of GaN-based products.Leveraging its industrial expertisein LED manufacturing, HG has been

accelerating its R&D and expandingits business to various kinds ofsemiconductors including GaN-basedproducts, through the integration ofdesign, manufacturing and sale ofchips. In particular, the firm strate-gically holds a 21.86% stake inIsrael-based VisIC Technologies Ltd(a fabless supplier of GaN-basedpower conversion devices), usingtheir respective strengths to developGaN-based chips and products. To further deepen its presence in

the GaN sector, HG says it aims tocapitalize on the extensive experi-ence, resources and expertise ofGaN Systems. “The group expects this strategic

investment will lay a solid founda-tion for its future development andprospects in its GaN semiconductorbusiness. Upon completion of theinvestment, we expect both thegroup and GaN Systems may havethe opportunity to pool our respec-tive advantages to each other, creating synergistic effects,” saysHG’s chairman & executive director

Zhao Yi Wen. “In particular, GaN Systems can provide dedicatedtechnical support (from Ottawa,California and Taiwan) to the groupfor process definition and qualificationof GaN device manufacturing toachieve best-in-class yield targetsand targeted qualifications. Suchtechnical support may include elements of the developed processthat are proprietary to GaN Systemsonly, and alignment on intellectualproperty strategy for resultingprocess know how,” he adds. “Thegroup will also be able to obtaintechnical support for reference designin Internet data center (IDC) power systems, electric vehicle (EV)and solar inverter applications fromGaN Systems on most-favored-nationterms. GaN Systems also proposesto qualify the subsidiary of thegroup as a foundry partner to GaNSystems and enter into a licenceagreement whereby the group may use certain GaN technology ofGaN Systems for manufacturing itssemiconductors components.”

HG makes strategic investment in GaN Systems

HG Semiconductor has completeda placing of 14,346,000 shares(about 2.55% of its issued sharecapital) to not less than six placeesat a price of HK$6.20 per share. After deducting professional fees

and other related expenses, netproceeds were about HK$86.2m.About HK$64.3m will be used tostrengthen R&D in LEDs, mini-LEDs,fast charging products, and GaNdevices and related semiconductorproducts. Some will also be usedto set up of R&D centers, recruitR&D staff and procure equipmentand materials in order to developand acquire patents and technology.The remaining HK$21.9m will beused for general working capital andboosting the firm’s financial position. HG says that, using its industrial

semiconductor manufacturingexpertise, it has been expandingto various kinds of semiconductors

including GaN-related products.HG is hence setting up a new fac-tory in the Xuzhou Economic andTechnological Development Zone,comprising 7000m2 of cleanroomspace and 850m2 of office area. A production line for GaN-basedelectronic products will be installed,and upgraded to full automation inthe future. The firm has added core members

to its Technology & Manufacturingteam. Xuzhou Factory generalmanager Dr Chen Zhen has over20 years of experience in R&D,production and management in GaN-based optoelectronics, includingfull-band solid-state light-emittingdevices as well as proprietarytechnology for 8” silicon-based GaNepi growth. Chen has applied for over30 domestic and foreign patents. Xuzhou Factory operation deputy

general manager Lu Juilin has over

30 years of experience in semicon-ductor industry and foundry tech-nology and management, includingmanaging 8–12” fabs and 55nm to0.5μm processes. He also hasexperience in building new factoriesand as factory manager for SMIC andHua Hong Semiconductor (HHS). Senior consultant Dr Chang Ru Gin

is SMIC’s founder and former CEO.Xuzhou Factory research deputygeneral manager Dr Thomas Huhas over 20 years of experience inGaN device design and fabrication,including GaN HEMTs. Hu is expe-rienced in process control, faboperation and management and isin charge of new process recipedevelopment, process optimization,new product development, productquality control and yield improve-ment for GaN HEMT devices andproducts. www.hg-semiconductor.com

Share placement to fund R&D on GaN electronic devices

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

34

For fiscal second-quarter 2022 (toend-September 2021), Transphormof Goleta, near Santa Barbara, CA,USA — which designs and makesJEDEC- and AEC-Q101-qualifiedgallium nitride field-effect transistors(FETs) for high-voltage power con-version applications — has reportedrevenue of $11.3m, up from $3.2mlast quarter and $1.9m a year ago. Growth was driven by licensing rev-

enue related to ongoing developmentwork with a manufacturing partnerplus record product sales fromramping shipments of GaN devicesfor power conversion applications.Product revenue rose sequentiallyfor a seventh quarter and grew 30%year-on-year to a quarterly record. “We continued to ramp device

shipments in support of expandeddesign-ins going into production,”says president & co-founder PrimitParikh. “Product revenue in the firsthalf of fiscal 2022 has exceeded therevenue contribution from productsfor the full fiscal year of 2021,driven by growing traction with ourGaN devices in fast chargers andadaptors as well as high-powergaming, server and crypto-miningapplications. Additionally, we continued to lay the foundation forsignificant future growth highlightedby the recently achieved automotive

qualification of our SuperGaN Gen IV FET device. With strongcustomer momentum and strongblue-chip strategic partnerships, weexpect continued product revenuegrowth in the coming quarters.” Highlights during the quarter

included continued momentum onadaptor and fast-charger marketpenetration, including partnershipswith leading controller and integrateddriver makers. Also, Transphormachieved automotive qualification ofits SuperGaN Gen IV multi-kilowatt-class power FET. The firm was alsoawarded a $1.4m GaN developmentcontract by the US Defense AdvancedResearch Projects Agency (DARPA). On a non-GAAP basis, operating

expenses were $4.45m, up from$3.5m a year ago but cut slightlyfrom $4.59m last quarter.Net income was $3.6m ($0.09 per

share), compared with net losses of$5.3m ($0.13 per diluted share)last quarter and $5.3m ($0.15 perdiluted share) a year ago. Cash andequivalents remained $2.5m at theend of the September quarter. During the quarter, Transphorm

completed the transaction for itsAFSW wafer fab in Aizu Wakamatsu,Japan to be acquired by GaNovation,its joint venture formed recentlywith its new Palo Alto-based strate-

gic financial partner JCP Capital.The AFSW fab was previously a JVwith Fujitsu Semiconductor Ltd (FSL). After the end of the quarter, in

October, strategic partner YaskawaElectric Corp of Kitakyushu, Japan(a manufacturer of low- andmedium-voltage variable-frequencydrives, servo motors, machine controllers and industrial robots)converted 100% of its $15.6mTransphorm convertible notes intocommon stock at $5 per share. In subsequent private placement

transactions completed in earlyNovember, the firm closed $33m ofequity financing at $5 per share,comprising follow-on investmentsof $5m by KKR and $10m by Sino-American Silicon Products(SAS) as well as participation byinstitutional investors. This followedthe closing of a $5m initial privateplacement by SAS in August. “We have significantly strength-

ened our balance sheet and cashposition while also realizing a $50mincrease in shareholder equity sinceJune,” notes chief financial officerCameron McAulay. “The company isnow positioned to meet the qualifi-cation requirements for uplistingTransphorm’s common stock to theNASDAQ..” www.transphormusa.com

Transphorm turns profit as product revenue grows 30% $50m in equity financing since June to aid uplisting to NASDAQ

Transphorm has partnered withTaiwan-based SAS (Sino-AmericanSilicon Products), the parent firmof GlobalWafers Co Ltd (GWC, oneof the world’s three largest siliconwafer manufacturers) to expand its supply chain for GaN epiwafers. Also, SAS has made a $15m

equity investment into Transphorm(including an initial $5m in August)through a private placement ofcommon stock at $5 per share.This joins equity financing of $23mmade through a private placementof Transphorm shares to KKR

Phorm Investors L.P. and severalUS institutional investors. The deal includes GWC becoming

manufacturing partner and suppliercaptive for Transphorm GaN epi-wafers, augmenting Transphorm’sinternal capacity. Also, SAS will dis-tribute select Transphorm epiwaferproducts as well as power products. “Our strategic partnership will

enable Transphorm to scale at afaster pace,” says SAS/GWC’schairwoman & CEO Doris Hsu. “This partnership will allow for our

rapid expansion in the GaN market,

with a multi-year growth plan toscale GaN epiwafer manufacturingfor Transphorm, while protectingour intellectual property,” saysTransphorm’s co-founder & president Primit Parikh. “It willprovide Transphorm’s customers —from compact high-speed fastcharger to efficient higher-powerelectric vehicles — the security ofsupply, multiple locations (includ-ing our core US manufacturing),and rapid expansion capability,” he adds. www.sas-globalwafers.com

SAS makes $15m investment in strategic partnership GWC to be manufacturing partner for GaN epi; SAS to distribute

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

35

Transphorm Inc of Goleta, nearSanta Barbara, CA, USA — whichdesigns and manufactures gallium nitride (GaN) field-effecttransistors (FETs) for high-voltagepower conversion applications —says that its flagship SuperGaNGen IV 35mΩ device has completedthe Automotive Electronics Council’sAEC-Q101 stress tests for automo-tive-grade discrete semiconductors,representing the firm’s third auto-motive-qualified product line. As with its Gen III predecessor,

the Gen IV TP65H035G4WSQAdevice is qualified to 175°C. Thisjunction temperature is 25°Chigher than what silicon MOSFETsqualify at and is a temperature ratingyet to be achieved by any otherGaN solution, some of which areonly qualified to 125°C, saysTransphorm.“With each generation of its GaN

platform, Transphorm hasincreased device performance,increased power density, increasedmanufacturability, all while bringingthe device price down closer to thatof silicon devices,” commentsRichard Eden, senior principal analystat Omdia. “The automotive marketis beginning to see increased interestin the benefits of GaN technologyand Transphorm, having a strongAEC-Q101-qualified GaN portfolio,can fuel that adoption,” he adds.“Omdia believes that the earlyadoption of GaN transistors withinthe multi-billion-dollar automotivemarket could pass $100m by 2025.” High-voltage GaN solutions posi-

tively address several electric vehicle(EV) issues. For example, GaNdelivers higher power conversionefficiency from the EV battery to itsdrive train, enabling the use ofeither a smaller battery for thesame range or the same size bat-tery for extended range, reducingrange anxiety.

Addi-tionally,GaN FETsoperateat higherfrequen-cies,resultingin higherpowerdensityas well assmallerandlightersystems.Theseadvan-tagesbenefiton-boardchargers(OBCs),DC-to-DC converters, and maintraction inverters while also con-tributing to larger battery rangeand faster charging. These threehighlighted critical systems makebattery electric vehicles (BEVs) notonly drive but function properly,and GaN plays a part in all.The AEC-Q101-qualified

TP65H035G4WSQA FET delivers atypical on-resistance of 35mΩ in anindustry-standard, thermally supe-rior TO-247 package — a packageconfiguration that is not available inany version of e-mode (enhance-ment-mode) GaN, it is claimed. Viaits patented SuperGaN technology,the device also offers: A flatter and higher efficiency curvewith an improved figure of merit(RONxQOSS) that shows 27–38%reduction in power loss over siliconcarbide (SiC) in a similar TO-247package-based comparison. Reduced package inductance,resulting in softer switching, whichhelps to reduce electromagneticinterference (EMI).

Increased noise immunity(threshold voltage at 4V), eliminatingsporadic turn-on due to gate transients to which other GaN tech-nologies with threshold voltagesless than 2V are susceptible inhigher-power systems. The industry’s most robust gateat ±20V, it is claimed. These advantages produce quieter

switching and higher performanceat higher current levels with mini-mal external circuitry comparedwith what other GaN devices suchas e-mode require to maximizepower density, reliability and sys-tem cost. “We view GaN power semiconduc-

tors as a major differentiator in ourautomotive electric powertrainsolutions,” says Joachim Fetzer,chief technology & innovation officerat Marelli — a global independentsuppliers to the automotive sectoras well as a strategic Transphormpartner. “We partnered with andhave invested in Transphorm as wesee their commitment to quality,reliability and manufacturing isunmatched by any other GaN suppliertoday,” he adds. “The automotivequalification of their SuperGaN Gen IV device is just one moreproof point. It stands as a cost-effective, high-performing solutionfor our applications — allowing usto gain a competitive edge not justin performance and efficiency but inoverall system advantages. WithTransphorm, we aim to delivermore reliable electric power at areasonable cost to our customers.” Transphorm’s AEC-Q101 qualified

TP65H035G4WSQA FET will beavailable in early December. The device is based on its JEDEC-qualified predecessor, theTP65H035G4WS, which is currentlyavailable from distributors Digi-Keyand Mouser. www.transphormusa.com

Transphorm’s SuperGaN Gen IV multi-kW-classpower FET gains AEC-Q101 qualification Flagship SuperGaN Gen IV 35mΩdevice represents the firm’s third automotive-qualified product line

With each generationof its GaN platform,Transphorm hasincreased deviceperformance,increased powerdensity, increasedmanufacturability,all while bringingthe device pricedown closer to thatof silicon. The earlyadoption of GaNtransistors withinthe multi-billion-dollar automotivemarket could pass$100m by 2025

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

36

Transphorm Inc of Goleta, nearSanta Barbara, CA, USA — whichdesigns and manufactures JEDEC-and AEC-Q101-qualified galliumnitride (GaN) field-effect transistors(FETs) for high-voltage power con-version applications — says thatpower semiconductor product sup-plier Diodes Inc of Plano, TX, USAhas launched a complete 130WUSB Type-C power delivery (PD)3.0 adapter evaluation and devel-opment kit that uses its SuperGaNFETs.The kit pairs Diodes’ high-per-

forming active clamp flyback (ACF)controllers and Transphorm’spatented normally-off SuperGaNGen IV devices with input powerfactor correction (PFC). The result-ing solution delivers low standbypower, higher efficiency, what isclaimed to be unprecedented ther-mal performance, and optimal sys-tem bill-of-materials (BOM) costcompared with enhancement-modeGaN and silicon-based systems. Itexceeds DOE VI and COC Tier 2power efficiency requirements andallows for increased power density. Diodes’ ACF solutions are

designed to leverage next-genera-tion power conversion technologiesin configurations offering greaterperformance than traditional fly-back systems and significantlylower cost than half-bridge reso-nant LLC solutions in USB PDcharging applications. The new130W ACF SuperGaN kit is noexception, enabling easy and quick

evaluation of advanced technolo-gies while accelerating go-to-mar-ket timelines, it is reckoned.Capabilities include: high powerpeak efficiency (>93.5%); highpower factor (>0.90 over line over60% load); ultra-low total har-monic distortion (THD) (<18% overline over 60% load); low standbypower (<43mW); and the lowestswitch temperature (<75°C). The kit uses three main Diodes

controllers and two SuperGaN FETsfrom Transphorm: AP3306: A highly integrated ACFcontroller designed for ultra-lowstandby power and high powerdensity requirements, with com-prehensive protection mechanismsincorporated; APR340: A MOSFET driverintended for secondary-side syn-chronous rectification; AP43771V: A USB Type-C PD3.0decoder, with on/off N-channelMOSFET control and robust protec-tion features; and TP65H150G4LSG devices: Super-GaN 650V, 150mΩ FETs, with oneeach for the PFC control and ACFcontrol stages, respectively.“Diodes’ AP3306 ACF controller

allows high integration, lowstandby power, optimal systemcost, and versatile protection for awide range of power adapters from45W to 140W, while our AP43771VUSB-PD controller with PPS provi-sion has an MCU with virtual multi-time programmable area forcustomization, supporting different

quick charger power profiles,” saysErnest Lin, Diodes’ division man-ager, ACDC Power & Lighting (APL)Division. “Transphorm’s SuperGaNtechnology enables us to deliverthe highest-efficiency, lowest-device-temperature and ultimatelyhigh-power-density adapter systemthat is easy to drive without requir-ing any additional drivers or com-plex interfacing,” he adds. “The development kit from

Diodes, an innovator and providerof multiple controllers in the USB-PD adapter market, is an invaluableopportunity to help customersquickly realize the benefits of GaNpower conversion for high-perform-ance notebooks,” comments TusharDhayagude, VP, technical sales &field applications, Transphorm.“The adapter market is only begin-ning to realize the power and costsavings with advanced ACF topol-ogy, as we see more chargersgreater than 100W hit the market,”he adds. “Transphorm’s SuperGaNFETs combine the highest efficiencywith the simplicity of design anddrivability along with the mostrobust and highest gate noiseimmunity in the market.” The simple-to-use 130W USB PD

ACF kit allows manufacturers toquickly customize and implement90W to 150W adapter designs withUSB-C, PPS, and multi-protocolconfigurations. This kit is now avail-able upon request from Diodes. www.diodes.com www.transphormusa.com

Diodes Inc and Transphorm introduce 130W ACFSuperGaN USB-C PD adapter evaluation kit Kit pairs Diodes Inc’s active clamp flyback controllers and Transphorm’s normally-off SuperGaN Gen IV FETs with input PFC

REGISTERfor Semiconductor Today free at

www.semiconductor-today.com

LIQUID NITROGEN PIPINGSingle phase, low pressure LN

2 to your

use point for on-demand supply

• Clean, frost-free cooling to cryogenic temperatures

• Automatic LN2 circulation for MBE cryopanels

• Variety of internal diameter piping to accommodate a range of flow rates

• Modular and re-configurable with vacuum jacketed feed-through connections

4 Barten Lane, Woburn, MA 01801T 781 933 3570 | F 781 932 [email protected] vacuumbarrier.com

Since 1958

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

38

IVWorks Co Ltd of Daejeon, SouthKorea — which was founded in 2011and manufactures 100–200mmgallium nitride (GaN) epitaxialwafers for RF & power electronicsapplications — has raised $17.4min its Series C funding round. This investment follows the $6.7m

raised in December 2019’s Series Bround. Companies including YGInvestment, Korea Investment &Securities, WONIK InvestmentPartners, Log Investment, WooshinVenture Investment, Deslaube PEFand Hyundai Venture Investmenthave invested a total of $17.4m innew and existing shares. Characterized by high efficiency

and high output power, GaN epi-wafers are core materials used inpower and RF (radio frequency)devices for applications includingfast chargers for IT products, electric vehicle (EV) power conver-tors, 5G base stations, and defenseradars. IVWorks says that it has used its

self-developed technology of ahighly efficient and eco-friendlyepitaxy system as well as an artifi-cial intelligence (AI)-based produc-tion platform to produce 6–8-inchgallium nitride on silicon (GaN-Si)epiwafer products used in powerdevices and 4–6 inch galliumnitride on silicon carbide (GaN-SiC)epiwafer products used in RFdevices. The latest funds were secured

through new investments and areplanned for use in expanding pro-duction capacity and developingthe AI-based production platform.In particular, recent market circum-stances (e.g. the application of GaN

fast chargers in the IT products ofApple, Samsung, Huawei etc, andincreasing use of GaN powerdevices in EV onboard chargers and power convertors) have beenreflected in this funding round.IVWorks says that the latestfundraising demonstrates its inter-est in maintaining differentiatedtechnology competencies andfocusing on scaled growth inresponse to market demand. Fur-thermore, to proceed with listing onthe KOSDAQ (Korean SecuritiesDealers Automated Quotations)trading board of the Korea Exchange(KRX), IVWorks has entered into acontract with the Korea Investment& Securities listing underwriter andcommenced a fully fledged initialpublic offering (IPO). “Additional collaborations with

global semiconductor companiesand strategic investments are inprogress,” notes says IVWorks’ CEOYoung-Kyun Noh. www.ivwkr.com

GaN epi startup IVWorks raises $17.4m in Series C round New funds to be used to expand production capacity and develop AI-based production platform

Power semiconductor IC supplieronsemi of Phoenix, AZ, USA hascompleted its acquisition (announcedin late August) of silicon carbide (SiC)and sapphire materials manufacturerGT Advanced Technologies Inc ofHudson, NH, USA, which wasfounded in 1994. The acquisitionenhances onsemi’s ability to secureand grow supply of SiC. onsemi says that its customers

will benefit from GTAT’s experiencein crystalline growth as well as itstechnical capabilities and expertisein the development of wafering-ready SiC. onsemi intends to scale and accel-

erate GTAT’s development of SiC toassure customers’ supply of criticalcomponents and further commercial-ize intelligent power technologies.

“As we move to a carbon-freeeconomy, SiC technology is a keydriver to enable zero emissions inhigh-efficiency electric vehicles,renewable energy and charginginfrastructure,” says onsemi’s president & CEO Hassane El-Khoury.“By integrating GTAT, onsemi cannow provide end-to-end powersolutions from SiC crystal growth tofully integrated intelligent powermodules,” he adds. “We are proud to welcome GTAT’s

incredibly talented employees tothe onsemi family. Their experienceand insights in the SiC space aresecond to none, and we look forwardto working together to drive impor-tant new innovations that are criti-cal to the growth of the sustainableecosystem,” El-Khoury continues.

onsemi says that the acquisitionreinforces its commitment to makesubstantial investments in disruptive,high-growth technologies, consistentwith its recently announced 2025target financial model describedduring its Analyst Day presentation.Capital expenditures are expectedto be about 12% of revenue in2022 and 2023, as onsemi investsto drive differentiation and leader-ship, including in the SiC ecosystem.onsemi plans to invest in expandingGTAT’s manufacturing facilities,supporting R&D efforts to advance150mm and 200mm SiC crystalgrowth technology, while also invest-ing in the broader SiC supply chain,including fab capacity and packaging. www.gtat.comwww.onsemi.com

onsemi completes acquisition of GTAT onsemi to scale and accelerate GTAT’s development of silicon carbide

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

39

Aehr Test Systems of Fremont, CA,USA has joined the PowerAmericaInstitute, a public-private researchinitiative between industry, govern-ment, national labs and academiadedicated to accelerating the adoption of high-performing, wide-bandgap (WBG) silicon carbide(SiC) and gallium nitride (GaN)power electronics. The collaboration is expected to

result in bringing next-generationSiC and GaN power electronics tomarkets faster, reducing cost andrisk factors associated with new-generation technologies. As anorganization that brings togetherthe semiconductor manufacturersand the companies that use power electronics in their products,PowerAmerica is well placed as aninformation hub, reckons Aehr.

With the backing of the US Department of Energy (DoE) andthe engagement of top researchers,knowledge and processes can beprovided to educate the US work-force and provide more innovativeproduct designs. “Wafer test and burn-in equip-

ment play a key role in high-volume SiC and GaN production, as wafer-level stress testing con-tributes to highly reliable SiC andGaN power electronic products,”says PowerAmerica’s executivedirector Victor Veliadis. “We look forward to joining some

of the brightest minds in WBGresearch, device manufacturing,power electronics and systems,with the objective of reducing cost and the inherent risks in thisnew technology,” comments

Aehr Test Systems ’ president &CEO Gayn Erickson. “Wide-bandgap semiconductors

permit devices to operate at muchhigher temperatures, voltages andfrequencies, making the powerelectronic modules using thesematerials significantly more powerful and energy efficient thanthose made from conventionalsemiconductor materials,” notesErickson. “The benefits of bringingthe more robust and cost-effectiveSiC and GaN technologies to market will drive longer range inelectric vehicles, along with energysavings in consumer, data-centerand industrial processes and helpdeliver renewable energy onto theelectric grid.” www.aehr.com www.poweramericainstitute.org

Aehr Test Systems joins PowerAmerica Institute Wafer test and burn-in equipment to aid SiC and GaN adoption for power electronics and electric vehicles

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

40

For third-quarter 2021, AXT Inc ofFremont, CA, USA – which makesgallium arsenide (GaAs), indiumphosphide (InP) and germanium(Ge) substrates and raw materials– has reported a seventh consecutivequarter of growth to $34.6m (and a third consecutive quarter over$30m). This is up 2.7% on $33.7mlast quarter and 35% on $25.5m ayear ago, highlighting the increasingdemand for InP and GaAs substrates. Of total revenue, substrate sales

were $26.2m, up 5.2% on $24.9mlast quarter and 29% on $20.3m ayear ago. InP again set a new record,

remaining the single largest product category. “Demand wasstrong across the board, as capacityin our industry is now tight,” notesfounder & CEO Dr Morris Young.“Sequential growth was driven by4G and 5G telecommunication obligations as well as continuedhealthy demand for data-centerconnectivity,” he adds. GaAs revenue was the highest in

more than four years. In semicon-ducting GaAs, AXT continues to seestrong demand for high-end LEDapplications, including automotivelighting and displays. “We are alsoseeing rapid growth in high-pow-ered lasers, particularly in China,”notes Young. “On the wireless[semi-insulating] side, IoT [Internet of Things] continues to be strong.” Revenue from germanium sub-

strates fell modestly again in Q3.“However, the satellite solar marketremains healthy, and we are wellon track for 2021 to be a growthyear,” says Young. Revenue from AXT’s two consoli-

dated raw material joint venturecompanies — BoYu (which makeshigh-temperature pyrolytic boronnitride crucibles and pBN-basedtools for organic light-emittingdiodes) and JinMei (which supplieshigh-purity materials including gallium and germanium) — was$8.4m, down 4.5% on $8.8m last

quarter but up 61.5% on $5.2m ayear ago. “Demand continues to bestrong. We are on track to achievesignificant growth in this area ofthe business over the prior year,”says Young. “In 2020, both companies relocated

to our campus in Kazuo [China],enabling them to expand capacityin response to market demand,”says Young. “This, coupled with arecovery in pricing of raw materialssuch as raw gallium, has con-tributed to their growth this year.” Of total revenue in Q3/2021, the

proportion from the Asia-Pacificregion rose to 76% (from 73% lastquarter) and North Americarebounded slightly from 8% to 10%,while Europe fell back from 19% to14%. For the third consecutive quarter,

no customers reached 10% of rev-enue. The top five customers gen-erated about 25% of total revenue(down from 31% last quarter).“Our growth is not overly depend-ent on one large customer or appli-cation,” comments chief financialofficer Gary Fischer. “It is a uniqueand important aspect of our supplychain strategy,” he adds. “Our third quarter and year-to-date

revenue results continue to under-score the gathering momentum ofthe technology mega-trends thatour substrates help to enable —trends such as 5G telecommunica-tions, data-center upgrades, healthmonitoring, the Internet of Things(IoT), and the proliferation of LEDlighting and displays,” says Young.“Year to date, we have increasedrevenue by 46% over the sameperiod in 2020, including a 36%increase in GaAs and a 46%increase in InP substrates. With our new, expanded manufacturingfacilities, we are able to accommo-date increasing demand acrossthese applications and others, whilemeeting the stringent technicalrequirements of tier-1 customers.As such, 2021 is unfolding to be apivotal year for our business.”

On a non-GAAP basis, gross marginwas 33.8%, down from 34.8% ayear ago and 36.4% last quarter,driven mainly by low-margin salesat JinMei, which has been sellingmaterials at a pre-set price to several customers under long-termcontracts. Due to the recent rise inthe price of raw gallium that JinMeihas to buy, the gross margin onthose sales has eroded. The overallimpact to the consolidated grossmargin was about 220 basis points(without which gross margin wouldhave been 36%). “At least one ofthose contracts expired in Q3 butwe expect some continued pressureon gross margin in Q4 as a result ofadditional contracts that are comingto conclusion,” notes Fischer. The rise in raw material pricing

also impacts cost of goods sold onthe substrate side of the business.However, this has been offset by a$1.1m contribution to profitabilityprovided by AXT’s partially ownedsupply chain companies. Also in Q3 AXT received two

grants from local government total-ing $1m for its facilities invest-ments in the Kazuo region. Operating expenses have risen

further, from $6m a year ago and$7.4m last quarter to $7.7m. R&Dis a primary driver, due to twomajor programs: the developmentof 6-inch InP and the developmentof 8-inch GaAs. In addition, sales,general & administrative (SG&A)expenses are on track to be upabout $5m annually. AXT continuesto make necessary investments toenable its initial public offering(IPO) in China. “We’ve hired anumber of people to help us on theproject. There’s also been a lot ofadministrative and permit issues,”notes Fischer. “There's a lot ofrequired conformity that we needto follow in some of our employeecompensation section in China,”adds Young. “To be a public com-pany in China requires that we payhousing allowances for employees…Our compensation to our employ-

AXT’s year-to-date InP revenue grows 46% year-on-year GaAs demand for HBTs returning as industry capacity tightens

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

41

ees was not minimum. So, that’s anexpanded expense.” Despite all this, plus a rise from

$280,000 to $338,000 in the 25%tariff charged on importing wafersinto the USA from China, netincome remained level with lastquarter at $5.4m ($0.13 per share,exceeding the $0.10–0.12 guidancerange), up from $1.6m ($0.04 pershare) a year ago. Depreciation and amortization was

$1.8m. Capital expenditure (CapEx)was $6.1m. During the quarter,cash, cash equivalents and invest-ments hence fell from $58.5m to$56m. Net inventory rose by $1.8m to

$60.7m, consisting of 44% in rawmaterials, 50% in work in progress(WiP) and 6% in finished goods.“There are some customers thatrequire us to build inventory,including customers that want theinventory consigned to their site,but it remains on our balance sheet.One in particular is growing, and sothat is a contributor,” explains Fischer.“We need to have inventory to keepgrowing the revenue and we’recomfortable with our cash position,”he adds. “The demand environment remains

strong in Q4, with some seasonalityexpected in certain applications likePON [passive optical networks] andGaAs for wireless devices and con-tinued strength in several of the InPapplications,” says Fischer. Hence,for Q4/2021 (which is usually adown quarter for AXT), the firmexpects revenue of $34–36m, with raw materials down slightly,InP and GaAs both up slightly, andgermanium approximately flat. This is despite Q4 including thefirst 10 days of the national holidayin China as well as part of the

Christmas holiday season. Takinginto account that (unlike Q3) AXTshould receive no China-based taxcredits or grants in Q4, net earn-ings per share are expected to fallto $0.06–0.08. For full-year 2021, AXT expects

revenue growth of more than 40%,and an increase in annual profit ofmore than 300% on 2020. “Thisgrowth is the result of years of cul-tivating customer relationships,investment in operations and theconvergence of technology trendsthat are likely to drive our growthfor years to come,” says Fischer. The drag on gross margin from

gallium pricing at Jinmei isexpected to continue in Q4/2021and “dribble” into Q1/2022. How-ever, those long-term contracts willcome to an end soon, and new con-tracts with new customers will beestablished. So, the targeted grossmargin of 35% is “very achievable”for Q2/2022, believes Fischer. “JinMei is a very healthy businessand there’s increasing demand,” headds. “Our increasing volume, improving

product mix, and continuedimprovement in manufacturing effi-ciency will allow us to drive contin-ued gross margin improvement aswe progress through fiscal year2022,” believes Fischer. “This will bea primary focus for us over thecoming quarters.” “We believe

2022 will beanother yearof strong[revenue]growth [of15–20%],with existingapplicationscontinuing

to mature, and new ones being lay-ered on to the current demand,”says Young. “This will take care ofsome of the added expense that weincurred.” In particular, InP is expected to

grow by more than 30%. “We’retalking to multiple customers inindium phosphide, and they havevery exciting new applications,”says Young. “Gallium arsenide, we are also

seeing renewed interest — thehigh-powered laser in galliumarsenide, especially in China, is giv-ing us a very high expectation forgrowth next year. Customers aretelling us that, for next year, theyexpect the volume ramp in high-power laser demand,” he adds. “With 6-inch capacity tightening

up in our industry, we’re beginningto see renewed customer interestin GaAs for heterojunction bipolartransistor (HBT) devices and ourability to expand capacity,” saysYoung. “Industry experts are tellingus they are seeing the HBT marketdemand actually growing almost40–50% in the next two years. Thishas not been a strong applicationfor us for more than 10 years, andour facilities give us the opportunityto be competitive,” he adds. “This is just the golden conver-

gence of market and emergingtechnologies. For this reason, we’remaking important investment inour business, including larger sub-strates, capacity expansion, andour IPO in China. While theseinvestments bring us to a higherlevel of operating expenses, theygive us a significant competitiveadvantages in our ability to scaleour business and meet the need oftier-1 customers in emerging high-volume applications.”

With 6” capacitytightening up inour industry,we’re beginningto see renewedcustomer interestin GaAs for HBT devices

On 16 November, AXT announceda strategic plan to access China’scapital markets and progress to anIPO by its China-based wafermanufacturing company BeijingTongmei Xtal Technology Co Ltd on

the Shanghai Stock Exchange’s Sci-Tech innovAtion boaRd (STAR Market). The firm expectsto submit the required documentsto the STAR Market authorities inQ4/2021.

The process of going public on the STAR Market includes severalperiods of review and, therefore, isa lengthy process. Tongmei expectsto accomplish this goal in mid-2022. www.axt.com

STAR Market listing update

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

42

The Welsh Government hasannounced additional funding of£1.73m for ASSET (ApplicationSpecific Semiconductor EtchingTechnology), an industrially driven,collaborative project developingsemiconductor process technolo-gies that is partly funded by theEuropean Regional DevelopmentFund (ERDF), under the Welsh Gov-ernment’s SMART Expertise pro-gram. Project partners across South

Wales include SPTS Technologies (aKLA company), Cardiff-based epi-wafer and substrate maker IQE plc,The Compound SemiconductorCentre (CSC, a joint venturefounded in 2015 between CardiffUniversity and IQE), Biovici, Bio-MEMS, Swansea and Cardiff univer-sities and Integrated CompoundSemiconductors Ltd (a spin off fromthe University of Manchester thatdesigns and manufactures RF,sensing and optical devices). The ASSET industrial partners

provide technologies for almost allthe world’s smartphones. By devel-oping a host of new semiconductorprocess technologies using com-pound semiconductors and next-generation materials, ASSET aimsto service new and emerging appli-cations in automotive sensing, 6G,photonics and healthcare. The expanded project involves

additional industry partners fromthe South Wales Compound Semi-

conductor Cluster including:CSconnected, smart sensor andnetwork producer UtterBerry, pho-tonics company Wave Photonics,and the UK’s national metrologyinstitute National Physical Labora-tory (NPL). “The expanded ASSET project is a

timely boost to the UK semiconduc-tor industry, with exciting develop-ments planned with several of ourregional industry partners, and theopening of our £90m Centre forIntegrative Semiconductor Mater-ials (CISM) - a new state-of-the-artsemiconductor facility at SwanseaUniversity - in 2022,” says profes-sor Owen Guy, head of chemistry atSwansea University and lead forthe ASSET project. “UtterBerry is proud to be working

with professor Owen Guy and histeam at Swansea University on theproject, to revolutionize chip manu-facturing and to become one of themost advanced centers in the UK,”says Heba Bevan from UtterBerry.“We also look forward to thebroader positive changes the teamat UtterBerry will make to the area,including job creation, a more effi-cient transportation network,enhanced connectivity andautomation in the clean energysector.” “The ASSET expansion links with

our new ‘Driving the Electric Revo-lution (DER)’ Industrial Centres(IC) initiative to create a new sov-

ereign supply chain for the UK innext-generation power electronicscomponents for transport electrifi-cation,” note both CISM operationsdirector Dr Matt Elwin and Dr MikeJennings, associate professor inElectronic Engineering at Swansea.“This funding, plus the £4.82m fromthe DER Industrialisation Centresprogram, will help us deliver common goals for increased economic growth, developing cleanand resilient supply chains in powerelectronics, machines and drives,”he adds. “The ASSET project gives the con-

sortium the ability to work with theextensive fabrication supply chainin the region to further expand ourcapabilities and capitalize on newand exciting market opportunities,”says Paul Rich, executive VP ofproduct engineering & technologyat SPTS. “The South Wales semiconductor

industry employs over 1400 highlyskilled people in the region and isset to expand rapidly over the nextfive years with the growth of 5G, AI and other mega-trend markets,”says the Compound SemiconductorCentre’s founding director WynMeredith. “ASSET will support thesemarkets by developing a range ofadvanced semiconductor processesand expertise to overcome techni-cal and industry challenges,” headds. www.csconnected.com

Welsh Government awards £1.73m to expand project forApplication Specific Semiconductor Etching Technology Additional industry partners include CSconnected, UtterBerry, Wave Photonics and NPL

REGISTERfor Semiconductor Today free at

www.semiconductor-today.com

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

43

CSconnected has appointed PhillipCornish as its new developmentmanager to deliver the strategicdevelopment and business devel-opment activities that underpin theexpansion of the compound semi-conductor cluster across the SouthWales region. In this new role, hewill identify global market opportu-nities for the cluster’s research,development and innovation activi-ties as well as helping to developstart-ups and investment toenhance the regional cluster.

Cornish’s compound semiconductorindustry experience most recentlyis as director & co-founder ofStratium Ltd, a venture capital-backed university spin-off makingquantum cascade lasers (QCLs) forprecision gas analysis applications.His previous positions include man-agement consultancy and businessdevelopment for optical communi-cations and laser solutions providerOclaro Inc (now part of LumentumInc), all of which included responsi-bility for strategic management and

business and product development. “His expertise and drive will be

invaluable for CSconnected,” believesdirector Chris Meadows. “Thisappointment reflects our commitmentto develop a global advantage in asovereign, key enabling technologywhich will allow Wales and the UKto increase trade globally in criticalsectors such as 5G communications,autonomous and electric vehicles,advanced medical devices and consumer electronics of the future.” www.csconnected.com

CSconnected appoints development manager

The role of the world’s first compound semiconductor clusterCSconnected (formed in 2017) indriving jobs growth, exports andeconomic prosperity was centrestage at the 2021 Annual Conferenceof the Confederation of BritishIndustry (CBI), chaired by LordBilimoria, on 22–24 November. At the Wales regional session of

‘Seize the Moment’, CSconnecteddirector Chris Meadows was joinedby professor Max Munday ofCardiff Business School and SteveWhitby of MicroLink Devices UKLtd to outline the strength of theindustry cluster in South Wales.Supporting UK Government’s ‘levelling up’ agenda, the eventwas held at Swansea University’sBay Campus. CSconnected is based on winning

a £43m UKRI Strength in Places bidand brings together more than adozen organizations under a collec-tive brand for advanced semicon-ductor-related activities in Wales. Munday, director of the Welsh

Economic Research Unit, told dele-gates that the emerging CS clusterand its high-tech companies buckedthe general economic trend in Walesby creating high-quality, well-paidjobs. “We have a long productivitytail in the Welsh economy, and ourGVA [gross value added] is consis-tently below the UK average, whichis difficult to change,” he added.

“The CSconnected cluster compa-nies employ around 1400 full-timeemployees on salaries which aremuch higher than the average inWales. The industry spends moneyin Wales to support another 2000jobs, contributing around £170mof gross value added for Wales,”Munday continues. “It creates adifferent picture than the usualinward investment pattern inSouth Wales. Some of the maincluster companies are headquar-tered here, are R&D intensive andexport levels are very high – in manycases over 90% of manufacturingoutput goes to North America andthe Far East. Cluster membershave strong links with universitiesin Wales too,” he adds. “In economic development terms,

it is a sector of interest, creating arichness that we don’t see in someother areas of inward investment.Output in the CS cluster sector hasbeen maintained through Brexit,and through Covid-19.” Meadows told the conference that

the cluster aimed to push job creation from 1500 to 5000 overthe next five years by developingstart-ups and through attractinginward investment. “If we couldattract a design team from justone of the mega companies, likeFacebook, Apple or Google, itwould bring an enormous supplychain with it. The growth potential

of CSconnected is certainly there.” Whitby said MicroLink’s recently

opened research facility in Baglan BayInnovation Centre stood to benefitby working within the cluster. “Wewill manufacture in the UK withdifferent toolsets which the clustermay provide. We aim to use roboticsprocesses, and increase efficienciesin the coatings on cells, packagingfor different markets. The clusteroffers us huge potential to dothose things here in Wales.” At the earlier UK CBI session,

Lord Bilimoria, chancellor at theUniversity of Birmingham, thankedCSconnected for sponsoring theWales regional session and urgedindustry to continue to tap intouniversity expertise. He noted thatthe UK produced 14% of the mosthighly cited academic researchpapers worldwide, and remainedone of the most entrepreneurialcountries in the world. Cardiff University’s Institute for

Compound Semiconductors was afounding member of CSconnected.The partnership paved the way forthe creation of the CompoundSemiconductor Centre (CSC), afor-profit partnership with wafersupplier IQE plc of Cardiff, Wales,UK. The Institute will move to theTranslational Research Hub and itsadjacent ERDF-funded cleanroom in2022 on Cardiff Innovation Campus. www.cbi.org.uk

CSconnected sponsors Wales regional session at CBI

Epiwafer & substrate maker IQE plcof Cardiff, UK has announced atrading update for full-year 2021 asit faces ongoing foreign exchangeheadwinds.Wireless updateIn second-half 2021 demand forWireless gallium arsenide (GaAs)epiwafers continued to grow, drivenby 5G penetration of the smart-phone handset market and WiFi 6.While growing year-on-year, GaAsvolumes are below expectations infourth-quarter 2021, in the contextof softening demand in the broadersmartphone supply chain. Despite supply chain constraints in

the broader semiconductor industry,the solid performance of GaAsthroughout 2021 has resulted in highutilization at IQE’s Taiwan facility,where the firm is investing in eightnew and refurbished tools, includingthree new Aixtron G4 MOCVD reac-tors (now being commissioned). Sales of Wireless gallium nitride

(GaN) epiwafers have continued to beweak due to end-market dynamics,including much fewer massive MIMObase-station deployments in Asiaand the slow rate of deployments inWestern markets. GaN remains anessential material for 5G infrastruc-ture, notes IQE, and demand is still expected to recover over themulti-year deployment cycle.

Photonics updateDemand for vertical-cavity surface-emitting lasers (VCSELs) used in3D sensing has remained solidthroughout second-half 2021 but isexpected to tail off towards the endof the year, in line with supply chainseasonality and general softeningin smartphone supply chains.Sales of other Photonics products

are also below expectations inQ4/2021 due to the re-phasing ofdefence and security orders associ-ated with large programs into 2022and the slower introduction of salesof new DFB laser products. R&D updateIQE says that strong progress con-tinues to be made on R&D programs,with key milestones reached forlong-wavelength VCSELs, advancedhealthcare sensing, porous siliconfor front-end module switching, andthe commercialization of GaN-on-Sifor infrastructure markets. Operational progressOperational progress has also beenstrong, with the closure of IQE’sPennsylvania facility and associatedconsolidation of MBE capacity inNorth Carolina (on schedule forcompletion by 2024). The closure ofIQE’s Singapore facility is on trackto be completed by mid-2022. These closures are part of IQE’s

consolidation strategy and should

improve production efficiency andmargins in the medium to long term. Outlook and guidanceA foreign exchange headwind hasbeen incurred in 2021 on areported basis, caused by the rela-tive strength of Sterling versus theUS Dollar (in which most of IQE’srevenues are denominated). Due to softening demand in Q4,

IQE now expects full-year reportedrevenue of £152m. This is equiva-lent to about £164m at constantcurrency, down 8% year-on-year. The reported adjusted EBITDA

should be £18m (or £25m at con-stant currency, an EBITDA marginof 15%, down from 2020’s 17%). Capital expenditure (CapEx) should

be £14–17m, below prior guidanceof £20–30m due to the phasing ofpayments for certain tool purchasesinto 2022. Net debt is expected tobe less than £10m. “Whilst it is disappointing that 5G

infrastructure deployments haveremained weak all year, we stillexpect this macro trend to providea multi-year growth cycle for IQE,”says interim executive chairmanPhil Smith. “In the immediate term,broader semiconductor marketshortages have softened demand insome supply chains, but we believethese effects to be temporary.” www.iqep.com

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

44

IQE’s 2021 constant-currency revenue to be down 8% Semiconductor-shortage-induced softness in smartphone-relateddemand joins continued weakness in 5G infrastructure roll out

After a search process led byinterim executive chairman Phil Smith,IQE has appointed Americo Lemosas CEO, starting on 10 January. With over two decades of mana-

gerial experience working withmulti-national tech companies, itis reckoned that Lemos has theskills necessary to lead IQE intothe next phase of its evolution. Lemos joins from the executive team

at New York-based GlobalFoundries.As GF’s senior VP of business

development for Asia Pacific andChina country president, he wasresponsible for driving efficiencyand growth in these critical mar-kets. Prior to this, he held execu-tive positions at firms includingQualcomm and Intel, having alsoworked at Flextronics, TexasInstruments and Skyworks. “The depth and breadth of

Americo’s industry expertise makehim the natural choice to take thebusiness forward in its next stage

of growth,” comments Smith. “Hisappointment will enable us to buildon our solid global industry foun-dations and market-leading posi-tions, and take advantage of keystructural drivers and opportuni-ties arising with the proliferation of5G and the Internet of Things.” Dr Drew Nelson, IQE’s founder &

CEO until September, will retain anadvisory and ambassadorial roleas a non-executive director on theboard, with the title of president.

IQE appoints Americo Lemos as CEO, replacing Nelson

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

45

For the nine months to end-September, Riber S.A. of Bezons,France — which manufacturesmolecular beam epitaxy (MBE) systems and evaporation sourcesand effusion cells — has reportedrevenue down 9% year-on-yearfrom €17.5m to €15.8m. Thisincluded Services & Accessoriesrevenue up 5% from €7.9m to€8.2m, but Evaporators flat at just€0.1m (due to the continuing lackof investment in OLED screen production equipment) and MBE Systems sales down by 22%from €9.5m to €7.5m (reflecting theseasonality of the delivery schedule,focused on second-half 2021). By geographic region, 45% came

from Asia (up from 32% in the firstnine months of 2020), 48% came

from Europe (up from 44%) andjust 7% came from North America(down from 24%). However, most of the contraction

in revenue occurred in first-quarter2021 (down 41% year-on-year,from €5.4m to €3.2m). In contrast, revenue for third-

quarter 2021 was €6.6m, up 10%on €6m in Q2/2021 and 11% on€5.9m a year ago. Riber notes that its commercial

turnaround measures rolled outover the year have continued todeliver benefits. During Q3/2021,Riber recorded orders for one production system and tworesearch systems (including theorder announced on 4 October),while further strengthening its Services & Accessories business.

Riber’s order book grew by 16.7%in Q3/2021 from €17.4m to €20.3mat the end of September, up 12%on €18.2m a year previously. Thiswas due to MBE Systems ordersgrowing by 45% year-on-year from€8.6m to €12.6m (comprising sixsystems to be delivered in 2021,including two production systems,as well as one research system tobe delivered in 2022). Orders forServices & Accessories were down19% to €7.7m, but this is from ahigher-than-usual €9.6m a year ago. Riber says that, in view of the

industrial production schedule forfourth-quarter 2021, it can confirmits full-year 2021 forecast for revenue of over €30m and operating income of €1.2m.www.riber.com

Riber’s revenue grows 10% in Q3 to €6.6m MBE systems order book up 45% year-on-year to €12.6m

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

46

For third-quarter 2021, epitaxialdeposition and process equipmentmaker Veeco Instruments Inc ofPlainview, NY, USA, revenue was$150.2m, up 3% on $146.3m lastquarter and 34% on $112.1m ayear ago (and towards the top endof the $135-155m guidance range),driven by record sales in its Semi-conductor segment — due to ship-ments of laser annealing and EUVmask blank systems — and strongData Storage segment revenue. Semiconductor (Front-End and

Back-End, as well as EUV Mask Blanksystems and Advanced Packaging)contributed a record $76m (51% ofrevenue), up 42% on $53.7m(37% of total revenue) last quarterand up 127% on just $33.6m ayear ago. “All three of our productsserving the semiconductor markets[laser annealing for both advancedand trailing logic nodes, ion beamdeposition systems for EUV maskblank production, and lithographyfor advanced packaging] are performing well and are expectedto continue this momentum for theforeseeable future,” comments CEOBill Miller. “For a few quarters now,

we have expressed increasing con-fidence in our advanced packagingopportunity. We had a strongequipment shipping quarter for ourlithography systems and we had arepeat multi-system order from aleading OSAT [outsourced semicon-ductor assembly & test] to supportproduction of GPUs and high-performance computing chips,” headds. “The investments we havemade in evaluation systems, service, product development andmanufacturing capacity haveenabled success,” says senior VP &chief financial officer John Kiernan. Data Storage (ion beam deposition

systems for cloud/data-centerapplications) contributed $39.3m(26% of revenue), down 25% on$52m (35% of total revenue) lastquarter but up 6% on $36.9m ayear ago, reflecting both capacityand technology additions by customers. The Compound Semiconductor

segment (Power Electronics, RF Filter & Device applications, and Photonics including specialty,mini- and micro-LEDs, VCSELs,laser diodes) contributed $23.3m

(15% of revenue), down 12.4% on$26.6m a year ago but relativelyflat on last quarter’s $24.2m (17%of total revenue), driven by metal-organic chemical vapor deposition(MOCVD) systems as well as wetprocessing systems for power, RFand photonics applications. The MOCVD business is operating

at historically low revenues afterexiting the commoditized LED sector, notes Miller. “We did a lot ofwork restructuring the businessand pivoting towards new marketsand new opportunities,” he adds.“We go after the gallium nitrideapplications with our Propel single-wafer reactor. That can beused for both power electronics, RF devices as well as disruptive silicon-based micro-LED appli-cations. We have a second productfor arsenide-phosphide called theLumina for applications in photonicssuch as indium phosphide lasers,VCSELs [vertical-cavity surface-emitting lasers] as well as redmicro-LEDs. We are starting to gain traction in MOCVD.” The Scientific & Other segment

(MBE/ALD/ion beam deposition

Veeco’s Q3 revenue up 34% year-on-year to $150m Full-year guidance raised for fourth time, to 28% growth to $580m

CompoundSemiconductor

Semiconductor

Semiconductor 34 54 76

Data Storage 37 52 39

Scientific & Other 15 16 11

Total 112 146 150

Compound Semiconductor 27 24 23

EMEA

ChinaRest ofAPAC

Rest of World is less than 1%

Scientific& Other

DataStorage

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

47

systems for university and govern-ment research) contributed $11.4m (8% of revenue), downfrom $16.4m last quarter (11% oftotal revenue) and $15m a year ago. By region, the Asia–Pacific

(excluding China) comprised 40%of revenue (up from 34% last quar-ter), mainly from sales of ion beamand laser annealing systems tosemiconductor customers. Chinacomprised 18% (up from 14%),primarily from semiconductor systems (boosted by the improvingability to obtain export licenses).The USA comprised 33% (downfrom 46% last quarter), driven by ion beam system shipped todata storage customers. Europe,Middle-East & Africa (EMEA) comprised 9% (back up from 6%). On a non-GAAP basis, gross margin

was 42.6%, down from 44.5% ayear ago but up from 41.6% lastquarter, and towards the top end ofthe 41–43% guidance range. Operating expenses were $39.6m,

up from $35.7m a year ago but flaton last quarter (and a reductionfrom 27% to 26.4% of revenue).This was also better than theexpected $40–42m. Net income has risen further, from

$11m ($0.22 per diluted share) ayear ago and $17.9m ($0.35 perdiluted share) last quarter to$20.5m ($0.40 per diluted share),towards the high end of the$13–23m ($0.25–0.44 per dilutedshare) guidance range. Cash flow generated from

operations has tripled from $10mlast quarter to $30m (the highestquarterly amount in the last sevenyears), “demonstrating the effec-

tiveness of our transformation,”says Miller. Capital expenditure(CapEx) has jumped from $7m last quarter to $22m. However, thisis mostly due to an increase from$4m to $18m in spending on theconstruction project at Veeco’s newmanufacturing facility in San Jose,CA (to meet demand from Semiconductor customers). Cash and short-term investmentshence rose during the quarter by$6m to $336m. Long-term debtrose slightly from $328m to $332m,representing a carrying value of$389m in convertible notes.For fourth-quarter 2021, Veeco

expects revenue of $140–160m.Gross margin should be 41–43%.Operating expenses are expectedto rise to $41–43m, as Veeco continues to invest for growth(although full-year OpEx as a percentage of revenue shoulddecline, compared with 2020). Net income is expected to be$14–23m ($0.27–0.45 per dilutedshare). For full-year 2021, Veeco has now

raised its guidance for a fourthtime, to revenue growth of 28%(from 2020’s $454.2m) to $580mwith earnings per share (EPS) upmore than 50% to $1.35. Origi-nally, in late October 2020, the firmforecasted 10% revenue growth.But it raised this guidance in Februaryto 17% growth to $520–540m (withEPS of $1–1.20), then in early Mayto 17-21% growth to $540–560m(with EPS of $1.10–1.30), and inearly August to 25.5% growth to$570m (with EPS towards the highend of the $1.10–1.30 guidancerange).

For Q1/2022, Veeco sees revenuein a similar range to its Q4/2021guidance. “We are excited about the traction

in our semiconductor business,which has been a focus of ourtransformation,” says Miller. “Weexpect to ship the first systems inthe coming weeks from our newstate-of-the-art San Jose semicon-ductor equipment manufacturingfacility, and to be fully transitionedinto that new facility by Q3/2022,”he adds. “We will also be bringingon new capacity as we bring ourSan Jose new facility online. Thiswould effectively double theamount of output from our facilitycompared to our current facilitythere as well in support of require-ments coming from the semicon-ductor industry,” says Kiernan. “In the near term, we expect to

see revenue growth in early-stagemicro-LEDs next year from a number of opportunities includingAR/VR as well as other photonicsapplications,” says Miller. “Also, wedid seed the 8-inch GaN-on-siliconpower market with multiple cus-tomers and placed an evaluationtool for 8-inch power electronics ata large foundry. We also placed aneval tool for red micro-LEDs,” headds. “Led by our traction in the semi-

conductor market, demonstratedby application wins, backlog posi-tion and visibility, we are confidentwe will grow revenue in 2022,” concludes Miller. “Our investmentsin evaluation systems and serviceinfrastructure are setting us up forsuccess in 2022 and beyond.” www.veeco.com

Veeco has entered into a privatelynegotiated note purchase agree-ment with Lynrock Lake LP underwhich Veeco will repurchase about$111.5m of its outstanding 2.70%convertible senior notes due 2023from Lynrock Lake Master Fund LPfor cash consideration of about$115.6m, and $1m of accrued

and unpaid interest. “We are excited to take this step

to improve our capital structure,”comments CEO Bill Miller. “Withthis repurchase, Veeco will haveretired over 80% of our 2023 debtmaturity obligation,” he adds. Thistransaction de-levers our balancesheet, reduces potential dilution,

and allows us to focus on continu-ing our growth strategy.”The repurchase is expected to

close on 10 November, subject tocustomary closing conditions. Following the repurchase, about$20.2m of notes will be outstand-ing. www.veeco.com

Veeco repurchasing $111.5m of convertible senior notes

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

48

For third-quarter 2021, depositionequipment maker Aixtron SE ofHerzogenrath, near Aachen, Germany has reported revenue of€130.8m. This is up 93% on€67.7m in Q2/2021 and more thandoubling from €64.1m a year agodue to the high number of systemsdelivered. Revenue for the first three quar-

ters of the year was up by 54%from €161.1m in 2020 to €248.1min 2021 (with 82% coming fromequipment sales and 18% fromafter-sales service & spare parts). Growth is being driven by appli-

cations including the manufactureof gallium nitride (GaN) power elec-tronics and wireless and opticaldata transmission devices as wellas of red LEDs. On a regional basis, 67% of rev-

enue in the first three quarters of2021 came from Asia (down from78% a year previously) and 23%came from Europe (up from just13%), with the Americas level at10%. Quarterly gross margin improved

further, from 40% a year ago and41% in Q2/2021 to 43% in Q3/2021,

due mainly to a higher share ofproducts shipped with better mar-gins. Operating expenses in the first

three quarters of the year rosefrom €52.9m for 2020 to €60.3mfor 2021, due mainly to two partic-ular effects. In first-half 2021, Aixtron incurred restructuring costsof about €3m for its South Korea-based organic light-emitting diode(OLED)-focused subsidiary APEVA(compared with the prior year, whena change of use for a productionfacility led to other operatingincome of €3m). Furthermore, the firm incurred higher variablecompensation and R&D spendingprimarily for the development of its next-generation metal-organicchemical vapor deposition(MOCVD) tools. EBIT quadruples year-on-yearin first nine months Nevertheless, as a result of theincrease in gross margin, in thefirst nine months of the year theoperating result (EBIT, earningsbefore interest and taxes) nearlyquadrupled, from €10.3m (6%margin) for 2020 to €41.1m (17%

margin) for 2021. Specifically, EBITwas €36.2m (28% margin) in Q3,up from €5.6m (8% margin) in Q2.Net income was €31.4m in Q3, upsignificantly from Q2’s €7.7m. Inventory boosted for planneddeliveries in Q4 In line with the expected output forplanned deliveries in fourth-quarter2021, inventories have beenboosted to €137.3m at the end ofQ3/2021 (from just €79.1m at theend of 2020). During Q3/2021,working capital fell from +€13m to–€46.8m. Operating cash flow hashence fallen from +€20.8m in Q2to -€12.2m in Q3. Also, capital expenditure (CapEx)

was raised from €2.8m in Q2 to€6.8m in Q3 (largely investmentsin next-generation MOCVD tools for Aixtron’s laboratories). Free cash flow in Q3 was hence–€19m (compared with +€18m lastquarter and +€5m a year ago). Despite this (and the €12m

dividend payment in May), Aixtron’scash balance of €330.7m at theend of Q3/2021 was still up on€309.7m at the end of 2020, duemainly to advance payments

Aixtron’s revenue almost doubles in Q3/2021 Order intake and revenue in first nine months of the year the highest since 2012

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

49

received from customers risingfrom €51m to €86m. Order intake highest since 2012 Order momentum remained high inQ3/2021, at €114.2m (and up on€70.8m a year previously). Conse-quently, order intake in the firstnine months reached its highestlevel since 2012, growing by 80%from €209.3m for 2020 to €377.6mfor 2021. Demand is coming partic-ularly for gallium nitride (GaN) andsilicon carbide (SiC) power electronicsapplications, wireless and opticaldata communications as well asLED applications for fine-pitch dis-plays and indoor farming. Equipment order backlog fell

slightly by 9% during Q3/2021,from €295m to €267.7m, due to

the large volume of deliveries, butthis is still up 63% on €164.1m ayear previously. “Megatrends such as digitalization

and data communication, energyefficiency and e-mobility are drivingdemand for compound semicon-ductors,” notes CEO & president Dr Felix Grawert. “Their outstandingproperties are the basis for appli-cations not only in optoelectronicsbut increasingly also in power elec-tronics,” he adds. “As a result, weexpect revenues in the currentfourth quarter of 2021 to increaseeven further compared to thealready strong previous quarters.” Aixtron confirms 2021 guidance After already raising its guidancefor full-year 2021 order intake first

(on 9 June) from €340–380m to€420–460m then again (in lateJuly) to €440–480m, Aixtron hasreiterated this guidance. Based on the equipment order

backlog (convertible into 2021 revenue) of €137–177m plus €15mof after-sales spares & services revenue, together with the €248mof revenue from Q1–Q3/2021, Aixtron has also confirmed its guidance for full-year revenue of€400–440m (previously raised on 9 June from prior guidance of€320–360m). The firm still expectsgross margin of 40%, and EBITmargin of 20–22% of revenue(raised on 9 June from prior guidance of 18%). www.aixtron.com

Q4/2021 estimated revenue from after-sales

Equipment order backlog convertible to 2021 revenues

9M/2021 revenue

EUR millions

Revenue guidance FY 2021

2021 Guidance confirmed based on 9-month results, current order situation andcurrent environment:

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

50

k-Space Associates Inc of Dexter,MI, USA — which produces thin-filmmetrology instrumentation andsoftware — has added a new featureto the kSA Emissometer that willmake it more effective for detectingdefects in metal-organic chemicalvapor deposition (MOCVD) wafercarriers. The kSA Emissometer is a metrology

tool designed to easily generatehigh-resolution diffuse and specularreflectance and total emissivitymaps of MOCVD carriers. Variationin carrier emissivity correlates totemperature non-uniformity, whichcan lead to reduced device yield and

growth run issues. This new featuremakes the kSA Emissometer moreessential for fabs to help with earlydefect detection, and for carriermanufacturers to detect qualityissues before they ship the carrierto their end user, says k-Space. At the end of a carrier scan, this

new feature displays the number ofdefects it detected and summarizesthe number of the pocket defects,web defect and halo-region defects.The software outlines the defectregions in the carrier image to easilyidentify their positions. The user cansave the image files to examine oranalyze later. This allows fabs to

pull the defective wafer carriersbefore they cause an issue, and theycan perform in-depth analysis later. “We try to improve our products

based on customer requests,” says senior product engineer Greg DeMaggio. “This additionaldefect analysis was something ourcustomer desired and we were ableto implement it,” he adds. “The new capability makes their defectidentification process easier, willultimately lead to improved quality,reduced production costs, and is agreat addition to the analysis capa-bilities of the kSA Emissometer.” www.k-space.com

Deposition equipment maker Aixtron SE of Herzogenrath, nearAachen, Germany is a partner inthe new program QUANTIMONY(‘Innovative Training Network inQuantum Semiconductor Technolo-gies Exploiting Antimony’). Funded by the European Union’s

Horizon 2020 research and innova-tion program under the MarieSkodowska-Curie (grant agreementnumber 956548-QUANTIMONY-H2020-MSCA-ITN-2020), the proj-ect is an international network fordoctoral education supporting thetraining of young academics as well asresearch into quantum technologiesutilizing antimony (Sb). QUANTIMONY provides 14 junior

researchers with PhD positions,spanning all scientific and engi-neering aspects (from modeling tomaterial growth and characterizationto industrial use), as an entry intosemiconductor science and technology. QUANTIMONY is configured as a

multi-site international network(spanning eight European countries,plus USA, Taiwan and Brazil). Theconsortium comprises 11 researchteams as beneficiaries, supportedby 12 partner organizations, namely:Agencia Estatal Consejo Superior de

Investigaciones Científicas (CSIC),Universidad Politécnica de Madrid(UPM), Lancaster University, University of Warwick, EindhovenUniversity of Technology (TUE),Technical University Berlin (TUB),University of Würzburg, Universityof Rome ‘Tor Vergata’, Aixtron SE,IQE plc, Nextnano GmbH, BrukerAXS, Nanoplus GmbH, LancasterMaterials Analysis Ltd (LMA), TiberLab Srl, QuantCAD LLC,Fluxim AG, Lund University, European Synchrotron RadiationFacility (ESRF), National SynchrotronRadiation Research Center, CardiffUniversity, Universidad de Cádiz(UCA), Universidade Federal de São Carlos (UFSCar). Focus on antimonide-basedsemiconductor components The work focuses on research intosemiconductor quantum compo-nents that contain the elementantimony. With QUANTIMONY acontribution should be made toraise the full potential of the III–Sb compound semiconductorsgallium antimonide (GaSb), indium antimonide (InSb) and aluminium antimonide (AlSb). Antimonic semiconductor compo-nents are to be used primarily in

optoelectronic applications such asLEDs, lasers and detectors in theinfrared spectral range as well asDRAM memories, single-photonsources and solar cells. Via QUANTIMONY, it is intended

for antimony compounds to find apath to volume production by usingMOCVD technology, enabling usagein computers and memories, tele-coms, automobiles, robotics andmany other applications beyondthose that are already served inaerospace and security. “Quantum semiconductor tech-

nologies based on antimony are animportant field of the future,” saysprofessor Michael Heuken, VPAdvanced Technologies at Aixtron andhead of the QUANTIMONY Exploita-tion and Industrial EngagementCommittee. “The research work ofthese doctoral students within theframework of QUANTIMONY willmake a decisive contribution toaccelerating the necessary researchand development work for thisfuture technology,” he adds. “Theresults will contribute to technologi-cal solutions for energy saving andsolving the climate emergency.” www.quantimony.eu www.aixtron.com

New MOCVD wafer carrier defect analysis featureadded to kSA Emissometer

Aixtron partners in EU doctoral program QUANTIMONY

HIGH INNOVATION HAS HIGH STAKES.

Rise to new standards with SEMI-GAS®.

Semiconductors are mission-critical to many

advanced applications today. Subpar gas

delivery system performance not only inhibits

output quality and reliability—it can also

compromise safety.

For 40 years, SEMI-GAS® ultra high purity

gas delivery systems have been relied upon by

fabs looking to up their innovation, addressing

evolving risks with features that don’t just meet

but exceed codes for safety, precision & purity.

Improve yield, ensure high-performance output, and protect

the processes and people that make innovation possible with

SEMI-GAS®. Start today at appliedenergysystems.com/stakes.

BROUGHT TO YOU BY

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

52

LED product manufacturer Seoul Viosys Co Ltd — an affiliateof Sensor Electronic Technology Inc(SETi) and a subsidiary of SouthKorea’s Seoul Semiconductor —says that, in September, the Judicial Court of Paris, Franceordered a search and seizure againsta multi-national electric retailer andits suppliers for distributing UV LEDsterilizers suspected of infringingSeoul Viosys’ patents. Seoul Viosys had filed a patent

infringement complaint with theFrench court. Under the court’sorder, bailiffs seized products fromthe business offices of those com-panies located in Paris and Lille tosecure evidence of suspectedinfringement. As developers of second-generation

technologies, Seoul Viosys and itsaffiliates say they have obtained

permanent injunctions and ordersof recall against products infringingtheir patented technologies. For example, SSI (currently

Mediana Electronics) was the subject of a search and seizure forsupplying South Korea’s largestcompanies with white LED chips,infringing Seoul Semiconductor’stechnology in order to generatehundreds of billions of Korean wonin sales revenues, says the firm.SSI’s employees were arrested forstealing Seoul’s technology.Recently, Seoul Viosys and its

strategic partners also obtained aseries of permanent injunctions orjudgments for patent infringement inthe USA and Europe against variousUV LED makers (such as RayVio,Everlight, Bolb, Epileds and LEDEngin) and they continue to pursueadditional enforcements against

some of those manufacturers.Seoul Viosys has been collaborating

for over 20 years with SETi, a pioneer in UV LED technology.Together, the firms co-developedVioleds technology, which caneffectively disinfect water, air spaceand surfaces, including the elimina-tion of 99.437% of the SARS-CoV-2virus in less than 1 second. SeoulViosys expects the probability ofinfection to be reduced to 3% in anindoor environment when usingVioleds. Violeds technology alsohas applications in deodorizationand 3D printing. Based on its technology, Seoul Viosys suppliesLED solutions for refrigerators, air conditioners, washing machinesand water purifiers to the top threeglobal companies in Korea, the USAand China. www.seoulviosys.com

Court orders search and seizure of products fromsuspected infringers of Seoul Viosys’ patents in Europe

Seoul Semiconductor Co Ltd saysthat its SunLike natural spectrumLEDs (for producing light thatclosely matches the spectrum ofnatural sunlight) have beenapplied to the 19 models of theSun@Home product line of Germanlighting company LEDVANCE(which produces its products in 50 nations worldwide and exportsthem to about 140 nations). Sun@Home is a premium lighting

product line for homes and offices.With the SunLike natural spectrumLED technology, it can remotelyand automatically adjust the colortemperature and dimming as lightchanges from noon to evening. LEDVANCE is promoting its new

human-centric lighting for thehealth and well-being of users byapplying SunLike technology to 19 products (launched in October)in 10 categories, including desklamps, office lighting, panel light-ing, room lighting, downlights,wall-mounted lamps, night lights,

lightbulbs, candle-shaped lights,and track lighting. “Children, students and office

workers are staying longer at homeamid the pandemic, increasing theimportance of lighting for not onlystudy and work but rest as well,”says Seoul Semiconductor. SunLike lighting semiconductor

technology reproduces the spec-trum curve of natural sunlight(matching the intensity of eachwavelength, including red, orange,yellow, green, blue, navy and pur-ple), replicating almost the samecharacteristics as natural light, andimproving learning abilities suchas memory, correct answer rate,speed of learning, and recognitionspeed (according to a clinical trialby Harvard University’s Dr Rahman). SunLike achieved Rf 95 (and

higher) in a color fidelity testassessing the similarity to naturallight at Underwriters Laboratories(an international organization fortesting lighting equipment), becom-

ing the world’s first LED light sourceto obtain the Diamond Level. Seoul Semiconductor has demon-

strated the benefits of SunLikenatural spectrum LEDs throughresearch activities focusing on theeffects of learning abilities, visualcomfort and sleep quality. FollowingSeoul National University in Koreaand Basel University in Europe, aresearch team found that expo-sure to short-wavelength enrichedlight with a daylight-like spectrumimproves key components oflearning such as working memory,cognitive processing speed, andtesting accuracy. The clinical trialbegan in 2019, conducted by theDivision of Sleep and CircadianDisorders at Brigham andWomen’s Hospital (a teaching affiliate of Harvard Medical School)and included college-aged adults.Results from the study showedeffectiveness in improving proce-dural learning, says Seoul Semi. www.SeoulSemicon.com

SunLike natural spectrum LEDs chosen by LEDVANCE

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

53

Sundiode Inc of Campbell, CA, USA,a Silicon Valley-based companydeveloping micro-LED technologiesfor display applications includingaugmented reality (AR) and mixed reality (MR), has demonstrateda full-color micro-display, consistingof a stacked 3-color (RGB) micro-LEDpixel array driven with an active-matrix silicon CMOS backplane. Following the announcement in

late April of a stacked-RGB micro-LED pixel technology patentedby Sundiode and developed in collaboration with KOPTI (KoreaPhotonics Technology Institute), a micro-display using the stacked-RGB pixels was recently achievedusing a full-color-capable Si CMOSbackplane from Jasper Display Corpof Santa Clara, CA, USA. The com-pact 15.4mm x 8.6mm display hasan array of stacked-RGB micro-LEDpixels on a single chip bondeddirectly onto a Si CMOS backplanein its entirety, completely avoidingconventional pick-and-placeprocesses required for transferringdiscrete R, G and B subpixels. The development demonstrates

full-color operation of a micro-displaywith significantly enhanced utiliza-tion of the small pixel area of amicro-display. In this display, thepixels are 100μm in size, resulting

in a resolution of about 200PPI. Thenext phase of the development iscurrently underway to significantlyincrease the pixel density, in fullcolor, to be suitable for the AR andMR applications. The fully functional display shows

the operation of a stacked-RGBpixel array together with a Si CMOSbackplane and, as such, is reckonedto be a crucial milestone in thedevelopment towards ultra-high-

resolution full-color micro-dis-plays. With the developmentof epitaxial and fabricationtechnologies enabling thestacked-RGB pixel device, thisdemonstration is a step on theway to manufacturing micro-displays based on micro-LEDsthat are suitable for AR, MR,and Metaverse. Jasper Display Corp is a

fabless IC design companyproviding CMOS backplanetechnology supporting micro-LED development. Jasper Display offers FHD and 4K-res-olution backplanes, with whatis claimed to be excellent current uniformity and flexibleaddressing. By working withSundiode to showcase its100μm stacked-RGB micro-LED in a single-panel full-color

display, Jasper Display demon-strated that its CMOS backplanetechnology for micro-LEDs can becustomized to support needs rang-ing from low-power AR all the wayup to automotive headlights, allwith custom resolutions. Sundiode plans continued devel-

opment efforts towards the ultra-high-resolution micro-displays usingthe stacked-RGB pixel technology. www.sundiode.com

For its fiscal full-year 2021 (to end-August), LED chip and componentmaker SemiLEDs Corp of Hsinchu,Taiwan has reported revenue of$4.7m, down 23% on fiscal 2020’s$6.1m. However, fiscal fourth-quarter2021 revenue of $1.4m is level withboth last quarter and a year ago. Full-year gross margin fell from

26% in fiscal 2020 to 22% in fiscal2021. Most recently, gross margindropped from 46% last quarter to

11%, although this is still up onjust 8% a year ago. Full-year operating margin fell from

–34% in fiscal 2020 to –83% forfiscal 2021. This includes quarterlyoperating margin worsening from–41% last quarter to –135% in Q4. Net loss grew from $64,000

($0.02 per diluted share) last quarterto $1.835m ($0.42 per diluted share),taking full-year net loss from$544,000 ($0.15 per diluted share)

in fiscal 2020 to $2.85m ($0.68 perdiluted share) for fiscal 2021. During fiscal Q4, cash and cash

equivalents rose from $1.7m to$4.83m. SemiLEDs says that, given the

continuing uncertain impact ofCOVID-19 on the economy and thefirm, it is unable to forecast rev-enue for fiscal first-quarter 2021(to end-November) at this time. www.semileds.com

Sundiode achieves full-color micro-displays based on stacked 3-color micro-LEDs Compact 15.4mm x 8.6mm display driven by active-matrix silicon CMOS backplane from Jasper Display

A micro-display with a stacked-RGB pixelarray, where each pixel is an RGB-emittingstacked microLED device.

SemiLEDs’ full-year revenue falls 23%

ams OSRAM of Premstaetten, Austria has expanded its portfolioof horticulture LEDs with the OslonSquare Batwing. The Oslon Squarefamily is now extended with fournew devices — hyper red (660nm),deep blue (450nm), far red (730nm)and horti white — spanning all necessary wavelengths for horticul-ture applications. Every plant needs a certain

amount of light in different wave-lengths for an optimal growth. Special luminaires in greenhousesand indoor farms combine red, blueand white LEDs, depending on thelight recipe required for the plant.Current LED fixtures often have alambertian primary lens and nosecondary optic, or a simple glasscover, which lead to hotspots withhigh concentration of light directlyunder the luminaire. The result isareas with different intensities ofillumination, causing inconsistentyields. Currently, these lightinggaps are commonly filled with additional fixtures. ams OSRAMsays that it has solved this problemwith the Oslon Square Batwing,which has a special shape of opticsto enable customers to simplifytheir designs, likely reduce fixturesor be more efficient. When it comes to horticulture

lighting growers often face lightuniformity issues with the pitch-to-distance ratio. Growerscurrently try to close the lightinggaps with a higher number of lumi-naires or accept that some plants

receive more light than others. Thisis not a satisfying solution becausethe main aim for the grower is toget the highest possible yield at the

lowest possible cost. To address this issue,ams OSRAM combinedits established OslonSquare LED for horticul-ture lighting with a newprimary optic. The new batwing optics

enables a special radia-tion pattern of light thatlooks like wings. Thewide beam angle of 140°and the rectangularshape of light distributionenables higher uniformityand optimum utilizationof space in greenhouses.The wider angle also

allows for a larger distancebetween the luminaires and hencepossibly a reduction in the numberof fixtures. Compared with lightingsystems using LEDs with a second-ary batwing optics, the primarybatwing lens solution can offer anup to 5% higher system efficiency,it is reckoned. All versions of the new Oslon

Square Batwing family feature a compact footprint of only 3.0mm x 3.0mm and have highradiant flux maintenance and a lifetime of more than 102,000 hrs.In addition, the hyper red versionachieves optical output of 1042mWat a drive current of 700mA, yielding a wall-plug efficiency (WPE)of 74%. www.ams.com www.osram.com/os/applications/horticulture-lighting

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

54

ams OSRAM unveils first batwing optic LEDs for horticulture applications Oslon Square Batwing’s new primary optics provide more homogenous light for greenhouses

REGISTERfor Semiconductor Today free at

www.semiconductor-today.com

Due to the special batwing optics, greenhouseowners can enlarge the distance between thesingle lamps without a decrease in light qualityfor the plants.

The hyper red version of ams OSRAM’snew Oslon Square Batwing LEDs. With the four new products in theOslon Square family, ams OSRAM cannow better serve different customerwishes for horticulture lighting ingreenhouses and indoor farms.

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

55

LED maker Lumileds LLC of San Jose,CA, USA says that it is advancingautomotive lighting and improvingroad safety with the launch of thefirst road-legal H4-LED in Germany,from its Philips Ultinon Pro6000LED retrofit range. This is a rangeextension to the H7-LED that wasapproved in Germany in May. The new Philips Ultinon Pro6000H4-LED bulb delivers up to 230%brighter light (compared with thelegal minimum for halogen bulbs),and its plug-and-play design allowsDIYers and mechanics to install iton their own, the firm says. Approval of the Philips Ultinon

Pro6000 H4-LED type by the German federal authorities meansthat drivers across the country cannow legally upgrade their headlightbulbs from halogen to Philips LEDlighting. These premium Philips LED bulbs

improve safety by boosting visibilityand delivering a beam pattern thatilluminates the road ahead withoutdazzling other road users.

A comprehensive testing programin collaboration with TÜV Rheinlandconfirmed the new Philips LEDbulb’s high performance, enablinghomologation by the German Federal Motor Transport Authority(KBA). The ‘ABG’ approval certificatewas granted by the KBA based onnational regulation §22a StVZOenabling use in selected vehiclemodels. Lumileds can thereforenow offer its latest LED retrofittechnology to German drivers. “We will continue to provide

ever-increasing coverage of the car marques and models availablein Germany,” says Swati Singh,EMEA product manager for LED at Lumileds. Once fitted, the Philips Ultinon

Pro6000 H4-LED provides up to230% brighter light (comparedwith the minimum legal standardfor halogen bulbs) courtesy of theLumileds LUXEON Altilon LEDs —the same LEDs used by automotiveoriginal equipment manufacturers.Its cool-white beam with a

color temperature of up to 5800K— the same color temperatureused by OEMs — is said to aiddriver comfort and reduce strain onthe eyes. The Philips Ultinon Pro6000 H4-

LED also features greater durability,with up to 3000 hours’ lifetime.Advanced AirBoost technology anda built-in fan for active cooling contribute to long-lasting reliability.Due to plug-and-play installation,

drivers can now convert their halogen headlamps to the brighter,approved light of Philips UltinonPro6000 H4-LED on a wide range of car models. Thanks to its new,one-piece design, the bulb fits easily into existing headlamp units.Integrated electronics ensure acompact footprint, making installa-tion easy for DIYers or mechanicseven where space is tight. The Philips Ultinon Pro6000 LED

range in Germany is available inthe most common headlight bulbtypes, H4-LED and H7-LED. www.lumileds.com

Lumileds launches first road-legal H4-LED headlightbulb for retrofitting halogen Philips Ultinon Pro6000 LED range extended in Germany

LED maker Lumileds LLC of San Jose, CA, USA, the “innovatorof the original 5050 LED”, saysthat it has expanded its range ofoptions to meet manufacturers’needs for differentiated solutionsaddressing cost, efficacy andpower. Directly addresses theincreasing demand for solar andoff-grid solutions, renewablepower options and improved sustainability metrics, the newLUXEON 5050 HE LED expandsoptions along the efficacy–outputcontinuum, giving luminaire manufacturers the ability to bettertarget performance to their customers’ requirements.

“Driving ever higher efficacy levelssupports sustainability objectivesand it reduces payback cycles,”says product line manager Mei Yi.“The new LUXEON 5050 HE deliv-ers 181 lumens per watt or moreand allows for BOM [bill of mater-ials] cost reduction while maintain-ing the robustness and longevityexpected from an LUXEON LED.” The LUXEON 5050 HE delivers

Lumileds’ flux maintenance andcolor stability in high-sulphur envi-ronments, and its footprint com-patibility makes it easy to designinto existing and new platforms,says the firm. The new LED is suit-able for outdoor, industrial and

horticulture applications whererobustness, longevity and efficacyare the driving attributes, it adds. LUXEON 5050 HE is immediately

available in 2700–6500K correlatedcolor temperatures (CCTs) at 70CRI(color rendering index). It is char-acterized for both illumination and horticulture with lumen andefficacy ratings as well as photo-synthetic photon flux, PPF (μmol/s)and PPF/W (µmol/J). All LUXEON 5050 LEDs are available

for immediate sampling. Productionorders are available now throughLumileds’ distribution network andlead times are up to 8 weeks. www.lumileds.com

Lumileds introduces LUXEON 5050 HE LED Higher efficacy in a high-power LED

News: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

56

The 2021 Institute of Physics (IOP)Katharine Burr Blodgett Medal andPrize has been awarded to BrianCorbett, a researcher at Ireland’sTyndall National Institute at University College Cork (UCC) andat IPIC (the Irish Photonic IntegrationCentre), the Science FoundationIreland (SFI) Research Centre forPhotonics. The gold medal has been awarded

for Corbett’s identification and creation of breakthrough photonicdevice technology solutions thathave driven the development andgrowth of several startups, andmajor innovations by multi-nationalcompanies. “Brian Corbett has raised visibility

of Ireland’s high-impact technologyability significantly on the worldstage,” commented the IOP. Corbett invented the technology

that enabled the establishment ofEblana Photonics (in 2001) to commercialize a scalable lasermanufacturing technology thatdrives down the cost of high-performance, single-wavelengthlasers for diverse mass-marketapplications. His work helped to

launch Firecomms, which becamethe first Irish startup to be acquiredby a Chinese corporation (ZJF). The acquisition included a €5minvestment in Firecomms’ engi-neering team in Cork, resulting inthe growth of the team to about 30today. He was also key to attractingX-Celeprint to establish their globalheadquarters in Tyndall in 2013,with X-Celeprint’s CEO accreditingthe decision to the capabilities andvision of Corbett and his team. The firm is developing and licensingpatented Micro-Transfer-Print technology (a cost-effective andscalable manufacturing process forintegrating microscale photonicdevices onto silicon-based structures).

Based on his patented micro-LEDtechnology, InfiniLED was foundedin 2010 to commercialize this low-power ‘ILED display’ technology,which delivers energy-efficient andhigh-brightness displays. Corbetthas supported InfiniLED from incubation, through funding roundsand ultimately to acquisition (by Facebook in 2016). Facebook’sinvestment in Cork has grown toover 100 mainly physics-skilled scientists and engineers to develop Corbett’s technology intoaugmented reality (AR) platforms.The SFI says that not only is his

work with startups and SMEs critical to the development of theIrish entrepreneurial and enterpriseecosystem, his partnerships withmulti-national companies haveensured enduring relationships withcompanies such as Intel (as recog-nized by their 2013 OutstandingResearcher Award) and Seagate. It is reckoned that, through hisleadership and vision, more companies will spin out and grow — one is currently targeted onsuperluminescent LEDs. www.tyndall.ie

Brian Corbett of Ireland’s TyndallNational Institute.

Tyndall’s Corbett awarded Katharine Burr Blodgett Medal Photonic device technology has driven development and growth of startups and innovation by multi-nationals

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

In Situ Thin-Film Metrology Soluons for Research, Development, and Producon

Film thickness and deposion rateWafer and film temperatureThin-film stress and strainWafer curvature, bow, and ltSurface roughness and qualityWafer carrier characterizaonAnalycal RHEED

measurement soluon that’s right for you.Visit www.k-space.com today to discover the

k-Space Associates, Inc.Pung Light to Workwww.k-space.com

Measurement MaersWhen Accurate Real-Time

News: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

58

Shuji Nakamura, a professor in the departments of Electrical andComputer Engineering (ECE) andMaterials at University of CaliforniaSanta Barbara (UCSB), is the recipient of the 3rd Annual RichardJ. Goldstein Energy Lecture Awardfrom the American Society ofMechanical Engineers (ASME). Established in 2019, the award

recognizes “pioneering contributionsto the frontiers of energy, leading tobreakthroughs in existing technol-ogy, leading to new applications ornew areas of engineering endeavor,or leading to policy initiatives.” Nakamura was selected for “trans-

formational innovation in energy-conserving electronic and photonicmaterials, particularly pioneering

work in light emitters based onwide-bandgap semiconductors andthe invention of efficient blue light-emitting diodes that have renderedsubstantive bright and energy-sav-ing white light sources.” “It is my great honor to receive

the Richard J. Goldstein EnergyLecture Award,” Nakamura said.“LEDs have been used in appli-cations in a variety of lighting anddisplays in order to reduce energyconsumption. Laser lighting wouldbe the next generation of lightingby utilizing blue lasers. I hope thatthe invention of LEDs and laserlighting will contribute to minimiz-ing global warming in the future.”Nakamura received a bronze medal

and a certificate, and gave a lecture

on the topic of the invention of theblue LED and the future of lightingat the virtual ASME InternationalMechanical Engineering Congress &Exposition (1–4 November). Nakamura’s work has earned him

numerous previous honors andaccolades, including the 2006 Millennium Technology Prize, the2014 Nobel Prize in Physics, a 2015Global Energy Prize and the 2021Queen Elizabeth Prize for Engineering.Nakamura, who joined the UCSBfaculty in 2000, is a fellow of theNational Academy of Engineering, ofthe National Academy of Inventorsand of the Royal Academy of Engi-neering. www.materials.ucsb.edu/people/faculty/shuji-nakamura

Nakamura receives Goldstein Energy Lecture Award

ams OSRAM of Premstaetten, Austria has expanded its 3D sensingportfolio with four new vertical-cavitysurface-emitting laser (VCSEL)modules in the Bidos P2433 Q family of flood illuminators. The number of applications that

utilize 3D sensing technology con-tinues to increase: From the detec-tion of the surroundings for robotsto avoid collisions to the unlocking ofsmartphones via facial recognition.But 3D sensing also plays a keyrole for augmented-reality and virtual-reality (AR/VR) glasses.ams OSRAM says that, due to components like its Bidos P2433 Qfamily of flood illuminators, variousgestures can be reliably captured,raising the interaction betweenuser and AR/VR glasses to a newlevel. The compact package alsoallows specific design flexibility. For augmented- and virtual-reality

devices, as well as other 3D world-facing applications, the focus is onmaximum user experience combinedwith compact and light-weightdesign. In the 3D sensing market,VCSELs have a huge impact due totheir good beam quality, cost effi-

ciency and simple design. “With theexpansion of our Bidos family weoffer one of the smallest VCSELpackages available on the marketfor 3D applications at given powerlevels and field-of-illumination,”claims product manager SimonGubser. “By integrating the match-ing emitter and photodiode in onecompact module, we reduce theinstallation effort for our customerssignificantly,” he adds. The Bidos P2433 Q is available in

four different versions including twodifferent fields-of-illumination andtwo output power levels. With asize of 3.3mm x 2.4mm, theVCSEL-based modules are suitablefor 3D sensing applications using

time-of-flight (ToF) measurement,which require homogeneous illumi-nation of the scene. Due to theirwavelength of 940nm, all modulesare also free from the red glow effect,which is perceived by the humaneye as a disturbing flickering. In addition to the two 3W modules

(with fields of illumination of63°x50° and 74°x61° respectively),the two higher-power 6.5W modules(with fields of illumination of66°x53° and 78°x65° respectively)use dual-junction VCSELs with efficiency of up to 45%. In addition,a photodiode monitoring system isintegrated into the module as aspecial protection mechanism foreye safety. If the photodiode regis-ters a change in the incidence oflight — for example, if the opticsare damaged — the current supplyto the VCSEL is interrupted. Besides 3D gesture recognition,

the four new modules can also beused in industrial robotics forobstacle avoidance and virtualfencing, or in 3D face authenticationsystems in smart door locks orpoint-of-sale payment terminals. www.ams.com/-/bidosp2433q

ams OSRAM expands VCSEL portfolio for ToF 3D sensing Flood illuminator package integrates VCSEL emitter and photodiode

Bidos P2433 Q

VCSEL modules

In conjunction with the Space TechExpo Europe in Bremen, Germany(16-18 November), the Ferdinand-Braun-Institut, Leibniz-Institut fürHöchstfrequenztechnik (FBH) ofBerlin, Germany presented the following technologies. Laser systems for quantum-optical precision experiments With long-term experience in thedevelopment and fabrication ofrobust, compact diode laser mod-ules for space applications, FBHsays that its modules have alreadyproven their capability severaltimes in experiments under zerogravity conditions. Among others,FBH is currently manufacturing 55 ultra-narrow-linewidth lasermodules developed for the BECCAL(Bose-Einstein Condensate – Cold Atom Laboratory) apparatus.From 2024 they will be used in theresearch facility operated by theGerman Aerospace Center DLR andthe US National Aeronautics andSpace Administration (NASA) forquantum optical experiments withultra-cold atoms on board theInternational Space Station (ISS).The facility will be used to investigatefundamental physics questionsinvolving quantum objects withhigh precision near absolute-zerotemperature (–273.15ºC). The core elements of these and

previous diode laser modules arelaser diodes developed by FBH,which are assembled together withoptics and other passive elements.FBH says that, due to its uniquemicro-integration technology, themodules are extremely robust andsuited to use in space. They featuresmall dimensions of only 125mm x75mm x 23mm, a low mass (750g)and output power of >500mW withsimultaneously narrow intrinsiclinewidth <1kHz. In collaboration with Humboldt-

Universität zu Berlin, such modulesare also being built into compactquantum sensors and optical clocksfor use in space and for industry-compatible system solutions inquantum technology. The collabo-

rative Joint Lab presents a novel,fully autonomous frequency-stabi-lized laser source with integrateddistributed feedback (DFB) laserdiode based on the D2 transition inrubidium, operating at 780nm. Laser modules for satellites:from communications to climate protection FBH is also developing a range oflaser modules for satellite appli-cations. Its laser diode benches(LDBs) have been used for manyyears as pump lasers in Tesat-Spacecom’s laser communicationterminals (LCT). They are used,among other things, to transmithigh volumes of earth observationdata particularly quickly betweensatellites and Earth. The LDBs havebeen developed and qualifiedaccording to the standards of theEuropean Space Agency (ESA) forspace applications. Their wave-length is stabilized to the pumptransition band of a Nd:YAG lasersuch that the pump laser beamensures stable LCT performance.On top of that, the pump laser hasdemonstrated what is claimed to beexcellent reliability over the entire15-year lifetime of the mission. FBH will also exhibit a distributed

Bragg reflector (DBR) laser arraymodule that offers both low noiseand high reliability due to an integ-rated Bragg reflector, stabilizing thewavelength at the chip level. Thesuitability of such modules hasbeen demonstrated for continuousoperation of more than 15 years,qualifying them as flight hardwarefor the next LCT space missions. Another pump laser is to be used

in the future on the MERLIN climatesatellite, which is to measure the

methane concentration in theatmosphere. For this purpose, FBHhas developed, qualified and deliv-ered laser modules, each equippedwith two high-power laser half-bars. These modules deliver 130Wpulsed emission at 808nm wave-length and pump a Nd:YAG laser.Performance and reliability over themission lifetime have been demon-strated through extensive qualifica-tions of the technology andindependently confirmed by ESA’sTechnology Center ESTEC. So, evenwith a long operational lifetime ofmore than 4 billion pulses, the performance degrades onlyinsignificantly. Energy-efficient componentsfor satcoms and sensors Due to their high radiation hard-ness and capability for switching athigh frequencies, gallium nitride(GaN) switching transistors areparticularly suitable for power con-ditioning in satellites. FBH’s newlydeveloped 10A/400V aluminiumnitride power core with GaN powertransistors in a half-bridge config-uration minimizes the parasiticinductances and capacitances ofthe switching cell. Power switch,gate driver and DC link capacitorsare hetero-integrated in anextremely compact manner, andheat is efficiently dissipatedthrough the aluminium nitride sub-strate. In this way, the switchingtimes of the power cell can behalved compared with a traditionaldesign using discrete devices. Highswitching frequencies combinedwith high converter efficiency arethe prerequisite for power convert-ers with particularly high powerdensity (a decisive advantage,since weight is key in space). Energy consumption and dissi-

pated power are further criticalissues when operating poweramplifiers in space. FBH is hencealso developing concepts for enve-lope tracking — a well-proven tech-nique for increasing the efficiencyof solid-state power amplifiers. www.fbh-berlin.com

News: Optoelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

59

FBH exhibits at Space Tech Expo Europe

News: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

60

BluGlass Ltd of Silverwater, Australia — which has developedproprietary low-temperature, low-hydrogen remote-plasmachemical vapor deposition (RPCVD)technology for manufacturingdevices such as laser diodes, next-generation LEDs and micro-LEDs —has provided an update for fiscalfirst-quarter 2022 (to end-Septem-ber 2021), including progress in itsdevelopment of laser diodes. Laser diode progress BluGlass says that it continues todevelop and test multiple iterationsof its commercial laser diode proto-types, including single-mode andmulti-mode products in 405nm,420nm and 450nm wavelengths. Alongside addressing flaws in the

optical facet, BluGlass has alsobeen improving metallization of thelaser diode prototypes. Metallizationis critical to laser diode develop-ment as it controls the input ofelectrical current into the laser aswell as the removal of heat fromthe device. BluGlass is using analytical techniques to focus onfailure modes to determine which

components and processes withinthe manufacturing supply chain arecausing issues. Both the optical facet and metal-

lization are in the post-epitaxy production steps, which are currently outsourced to third-partymanufacturing suppliers. BluGlass says that it is focused on

improving the reliability of its laserdiodes and has several iterationsprogressing through the manufac-turing supply chain. Since June, thefirm has conducted extensive fail-ure analysis and burn-in testing ofmultiple development iterations.BluGlass has progressed fourlegacy iterations to completion thatwere in the manufacturing pipelinebefore June. These developmentefforts have resulted in improvedmanufacturing processes beingimplemented at all steps of thesupply chain, with a strong focuson improvements to the firm’sfront-end and back-end fabricationsteps, and facet coating designs. Following this extensive analysis

and new process implementations,BluGlass has implemented two new

design iterations. Testing of its firstiterations of new laser diodes,which feature new metallization andoptical facet improvements, willcommence in the coming weeks. BluGlass is focusing on eliminating

variation between manufacturingsuppliers, ensuring process andproduct repeatability — key stepsfor supply chain readiness ahead ofcommercial manufacturing. “Laser diodes are complex tech-

nology and, while frustrating, relia-bility challenges are common withinthe industry,” notes president JimHaden. “I have personally experi-enced and solved many of thesedevelopment pitfalls for other com-panies over the past few decades.There are four elements that arekey to producing optimal laserdiodes: high-gain, low-loss epitaxy;low-resistance metallization; low-loss, passivating facets; andmechanically sound thermal man-agement for packaging,” he adds.“Early prototype testing reassuresus that our epitaxy is performing inline with expectations. Alongside ourthird-party fabrication specialists,

BluGlass’ optical facet and metallization laser diodedesign iterations now in post-epi production Testing of improvements to begin in coming weeks

News: Optoelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

61

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

the team is focused on optimizingthe other three key elements andultimately solving the primarycause of our reliability challenges.” Haden was due to provide an

update on laser diode developmentat the firm’s Annual General Meetingin November. World-first tunnel-junctionlaser diodes In August, BluGlass demonstratedworking tunnel-junction laser diodesin a world-first proof-of-conceptusing its RPCVD technology. The RPCVD tunnel-junction proto-

types have demonstrated good lasing behaviour, confirming thepotential of these enhanceddesigns to address the 50% per-formance loss presently suffered bygallium nitride (GaN) laser diodesdue to excess heat. BluGlass saysthat its laser diode designs replacethe magnesium-containing layersthat cause optical and performanceloss with an RPCVD tunnel-junctionand second n-type cladding layer (a dual nwave laser diode). “Our RPCVD tunnel-junction laser

diodes are designed to significantlyimprove GaN laser diode perform-ance. This will enable higher-power,brighter and more efficient lasersfor commercial applications, includ-ing advanced 3D printing appli-cations for automotive, defenceand aerospace manufacturing as well as industrial welding forelectronics, battery and automotivemanufacturing,” says executivechair James Walker. “This success-ful proof-of-concept is an importanttechnical and commercial validation.It demonstrates the capability ofour RPCVD epitaxy to createbrighter and better performing blue

GaN laser diodes to support futureapplications and new wavelengths,providing a significant long-termgrowth opportunity for the business.” Leadership changes In September, BluGlass appointedUS-based expert laser diode executive Jim Haden as presidentto lead the firm to profitability.Haden has more than 30 years oflaser industry expertise gained insenior leadership roles at several ofBluGlass’ prospective customersand competitors, including KyoceraSLD, nLight and Coherent. He hasproven experience solving technicalchallenges, delivering products tomarket, and driving transformationalrevenue growth for advanced tech-nology businesses, says BluGlass. To aid the transition, executive

chair James Walker remains in therole, supporting BluGlass’ financialand governance functions as thefirm nears commercialization andprofitability. “Jim’s wealth of GaN laser expert-

ise and commercialization experi-ence will be instrumental in ourtransition to a global provider ofnext-generation laser diode prod-ucts,” believes Walker. “While laserdiode reliability issues are new toBluGlass, Jim has encountered andsolved similar technical and opera-tional challenges many timesbefore. He has a deep understand-ing of this domain, with hands-onexperience improving laser diodeperformance and building marketshare in underserved segments,”he adds. “Jim’s appointment further

enhances our board and seniormanagement bench strength, complementing the industry

expertise of Jean-Michel [Peleprat]and preparing BluGlass for its next growth phase. The calibre ofthe talent we are now attractingreflects our truly disruptive technol-ogy and significant market oppor-tunity,” Walker reckons. Outlook BluGlass says that it remainsfocused on solving its reliabilityissues ahead of launching its direct-to-market laser diode productsand securing the first customerorders. “We have had a productive start

to fiscal year 2022 and are nowwell-equipped to deliver on ourgrowth strategy,” reckons Walker.“Under Jim’s leadership, we are wellpositioned to resolve our reliabilitychallenges and optimize the perform-ance of our first direct-to-marketlaser diodes. Our initial productswill include the in-demand andunder-served 405nm, 420nm and450nm wavelengths, where wehave collaborative customers withconfirmed interest. While themajority of our focus is on the initial product range, we are alsoprogressing the development of ournovel RPCVD enhanced tunnel-junction laser diodes, which willoffer more efficient and brighter,higher-performing blue GaN laserdiodes and open the door to newapplications and markets,” he adds. “We are preparing our supply

chain for scale while also increasingour in-house production capabilityand expertise. This end-to-endcapability enables us to meetunmet industry demand for smallcustom batches as well as volumeproduction,” concludes Walker. www.bluglass.com.au

News: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

62

Photonic-crystal surface-emittinglaser (PCSEL) firm Vector PhotonicsLtd of Glasgow, Scotland, UK hascompleted the project ‘Lasers forCommunications Applications’(LOCAL), which was supported bythe Sustainable Innovation Fund ofUK Government agency Innovate UK(which provides funding and supportfor business innovation as part ofUK Research and Innovation) in collaboration with project partnerUniversity of Glasgow. The 11-month project developed

1310nm all-semiconductor surface-emitting lasers for next-generationcommunications and data-centerapplications — a huge growth market,driven by the proliferation of mobiledevices, the Internet of Things (IoT)and 5G. “The LOCAL project was a huge

success, with the resulting 1310nmall-semiconductor surface-emittinglasers delivering to plan, despite theCovid pandemic,” says David Childs,director of product development.“Not only did the devices exhibitexcellent optical performance, with aside-mode suppression ratio (SMSR)of 40dB, but they also supported

four wavelengths between 1298nmand 1340nm, on the same wafer — a breakthrough in the industry.This led to Vector Photonics’ seniordevelopment engineer Dr Calum Hillgiving a post-deadline presentationat the International SemiconductorLaser Conference (ISLC 2021) inPotsdam, Germany (10–14 Octo-ber).

“Innovate UK funding reducesearly-stage development risk,”notes Childs. “The £175,000 wereceived for the LOCAL projectfunded the successful developmentof the 1310nm laser prototypes,” headds. “This established a businesscase to proceed with commercial-ization of this revolutionary newsemiconductor laser technology.”

Vector Photonics completes LOCAL project Innovate UK funding helps develop multi-wavelength data-center laser

Vector Photonics has appointedIbrahim Javed as developmentengineer, responsible for the activetesting of its all-semiconductorPCSEL devices. Javed is a recent graduate of the

University of Glasgow, with anMEng in Electronic and SoftwareEngineering. He also has commer-cial experience, gained whiledeveloping the ResDiary Now appfor tvOS. His role at Vector Photonics

includes set-up of the burn-in andquality systems that validate thelife-time performance of the

PCSELs for next-generation datacoms, additive manufacturingand 3D printing applications. “Ibrahim is a great asset to the

Vector Photonics team, where heis already enhancing our test andquality assurance processes,”comments senior design engineerDr Calum Hill. “Vector Photonics’ PCSELs allow

on-wafer testing, where the laseris checked, and its properties verified, whilst still in wafer format.It is a critical advantage over mostcurrent semiconductor laser tech-nologies, as faulty lasers are

detected early in the productionprocess, reducing wastage,” headds. “Ibrahim’s electronic and soft-

ware capability has enabled him to automate the testing of manydifferent device types,” continuesHill. “Not only does this generatereal-time test reports for produc-tion, enabling improved deviceperformance and yield, but it alsoensures that test results are consistent between differentsetups and that rigorous, qualityassurance standards are met.” www.vectorphotonics.co.uk

Vector Photonics appoints development engineer,responsible for active testing of PCSEL devices University of Glasgow graduate automating on-wafer testing

News: Optoelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

63

III-V Epi exhibited its rapid-turn-around molecular beam epitaxy(MBE) and metal-organic chemicalvapor deposition (MOCVD) epitaxialmanufacturing services at the UKNational Quantum Technologies Show-case 2021 at the Business DesignCentre in London (5 November). Supported by UK Government

agency Innovate UK (which pro-vides funding and support for busi-ness innovation as part of UKResearch and Innovation) and itsKTN (Knowledge Transfer Network),the showcase included more than80 UK exhibitors with high-TRL(technology-readiness level) quantum solutions. “The MBE and MOCVD epitaxial

manufacturing and overgrowthservices III-V Epi provides are idealfor quantum-based businesses,which often require low to mediumvolumes and a fast turnaround,”

reckons director Calum McGregor.“Quantum businesses have con-tributed to huge breakthroughs inautomotive, healthcare, infrastruc-ture, telecommunications, cyber-security and defence industries,where we already have experience,including the supply of epitaxy forquantum dots, single-photon avalanche diodes (SPADs) andlaser sources,” he adds.

III-V Epi says that, driven by strongcollaboration between academiaand industry, the UK is home toaround half the quantum-basedbusinesses in Europe. The UKNational Quantum TechnologiesShowcase allows these businessesto demonstrate current technology,including real-life projects, such asminiature satellites for ultra-securecommunications; gas sensors forindustrial leaks; and receivers forsensing data breaches.Among the exhibitors will be the

National Quantum ComputingCentre, which works with busi-ness, government and theresearch community to expeditequantum computing development,and the UK National QuantumTechnologies Programme (UKNQTP),which has a £1bn budget, over 10 years, for quantum research. www.ktn-uk.org

III-V Epi at UK National Quantum Technologies Showcase MBE and MOCVD epi foundry among more than 80 UK exhibitors

III-V Epi Ltd of Glasgow, Scotland,UK says that it will consolidate itsposition as an essential part of thecommercial quantum technologiessupply chain following its involvementwith the project SHARK-VECSELs(Supply cHAin for stRontium clocKVertical External-Cavity Surface-Emitting Lasers). Funded by UK Government agency

Innovate UK (which provides fundingand support for business innovationas part of UK Research and Innovation), the £50,000 project is focused on next-generationquantum timing applications instrontium-atom-based optical clocks.“The SHARK-VECSELs project will

set III-V Epi up to supply commer-cial quantum solutions,” says chief technology officer professor

Richard Hogg. “Pre-prototype VECSELs have already been successfully produced through a collaboration between the University of Strathclyde, theNational Quantum Technology Hubfor Sensing and Timing, and theMidlands Ultracold Atom ResearchCentre. This knowledge will be sharedwith III-V Epi, before adding our

own expertise in phosphide-based semiconductor heterostructures and custom-designed VECSELs. We will goon to fabricate the quantumSHARK-VECSELs, which theUniversity of Strathclydewill test,” he adds. “Quantum technologies

play a mission-critical role indefence, security and other

sensitive markets, however theytypically require only low-volumeproduction quantities, which large-scale industry suppliers find prohib-itive,” Hogg continues. “III-V Epiwas set up specifically to addressthis low-volume, fast-turnaroundmarket, including the supply ofcommercial quantum solutions.” www.iii-vepi.com

III-V Epi participating in Innovate UK-fundedSHARK-VECSEL atomic-clock project Epi foundry to contribute expertise in phosphide-basedheterostructures and custom-designed VECSELs

News: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

64

Lumentum Holdings Inc of San Jose,CA, USA (which designs and makesphotonics products for optical net-works and lasers for industrial andconsumer markets) has expandedits multi-junction vertical-cavitysurface-emitting laser (VCSEL)-based range to include high-performance 1D and 2D addressablearrays for advanced automotive,consumer and industrial lightdetection and ranging (LiDAR) and3D sensing applications. Due to their proven reliability and

ability to be manufactured at scale,VCSEL arrays have become thepreferred laser illumination source forshort-range 3D sensing applicationssuch as biometric security andworld-facing LiDAR in consumermobile devices. Lumentum says

that its multi-junction VCSEL arraysprovide a solution to delivering thesignificantly higher peak opticalpower densities and efficienciesrequired for longer-range applicationsby decreasing the electrical currentneeded and simplifying electricaldriver and package design. Theaddition of array addressability toLumentum’s VCSEL arrays enablesa more compact, reliable, robustand fully solid-state LiDAR solutionwith no moving parts. “On-chip array addressability can

eliminate the need for mechanicalbeam scanning, which opens a newworld of possibilities forautonomous vehicles and emerging3D sensing systems and will helpaccelerate LiDAR adoption across awide range of customer appli-

cations,” says Matt Everett, productline director of 3D Sensing. Lumentum’s high-power multi-

junction addressable VCSEL arraysemit at 905nm and 940nm and arebuilt upon the manufacturing foun-dation developed over the pastseveral years of high-volumeVCSEL array shipments serving theconsumer electronics market. Thehigher peak power, addressability,thermal stability and narrow wave-length range of these sources issaid to open up new potential forall-solid-state short-, medium- andlong-range LiDAR systems. Lumentum’s high-performance

multi-junction addressable VCSELarray products are expected to beavailable from fourth-quarter 2022. www.lumentum.com

Lumentum launches multi-junction addressableVCSEL arrays for long-range LiDAR More compact, reliable, robust and fully solid-state LiDAR solutionwith no moving parts

Early-stage startup company Ganvix Inc of Wilmington, DE, USA,which specializes in the develop-ment of gallium nitride (GaN) vertical-cavity surface-emittinglasers (VCSELs), has signed a joint venture agreement with Taiwan’s Industrial TechnologyResearch Institute (ITRI). Founded by Yale University’s

Dr Jung Han, Dr Rami Elafandy andDr Jin-Ho Kim, Ganvix receivedfunding from IP Group Inc, an intellectual property commercial-ization company that focuses onevolving ideas from its partner universities and national labs. Thefirm utilizes nanoporous technologyto deliver compact, lightweightblue/green/ultraviolet (UV) VCSELlasers that produce what is claimedto be superior wavelength control,smaller spot size and array archi-tectures, allowing innovation acrossa wide range of applications.

As part of the agreement, ITRI willapply its decades of experience incommercializing and manufacturingelectro-optic devices to accelerateGanvix’s technology developmentand time to market. The resultingproducts will be targeted ataddressing the nascent opportunityfor high-performance, low-costGaN VCSELs in billion-dollar globalmarkets, including consumer elec-tronics, communications, medicaland life sciences, and industrialapplications. VCSELs based on gallium arsenide

(GaAs) that operate in the infraredspectrum are currently one of thefastest-growing technologies inelectro-optics. However, GaAs cannotemit light in the ultraviolet or visible(blue and green) wavelengths. Forthese applications, GaN is required,but there has been no commer-cially viable solution to form thelaser cavity mirrors required until

now. Ganvix claims that it hassolved this problem by usingnanoporous technology to engineerthe optical properties of GaN. Theunderlying nanoporous technologywas developed by professor JungHan over a period of more than adecade, is protected by more than30 patents, and has been exclu-sively licensed by Ganvix. “By forming this partnership, we

take advantage of ITRI’s technicalexpertise and long track record ofhelping bring innovative technolo-gies to market,” says Ganvix’s CEOJohn Fijol. “We see immense opportunity

in commercializing GaN-basedVCSELs and are enthusiastic aboutintroducing them to the global markets,” comments ITRI’s generaldirector Dr Wu. “The nanoporoustechnology is uniquely suitable formanufacturing at scale.” www.itri.org.tw/eng

Ganvix and ITRI form JV to commercialize GaN VCSELs Yale spin-off’s technology development & time to market to be sped up

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

65

Lumentum Holdings Inc of San Jose,CA (which designs and makes photonic products for optical net-works and lasers in industrial andconsumer markets) has entered intoa definitive agreement to acquireSan Jose-based NeoPhotonics Corp– a vertically integrated designer andmanufacturer of silicon photonics andhybrid photonic integrated circuit(PIC)-based lasers, modules andsubsystems for high-speed com-munications — for $16 per share incash (a total equity value of about$918m), a premium of about 39%to NeoPhotonics’ closing stock priceon 3 November. Lumentum intendsto finance the transaction throughcash from the combined company’sbalance sheet.Related to the transaction,

Lumentum will provide up to $50min term loans to NeoPhotonics tofund anticipated growth, whichmay require increased workingcapital and manufacturing capacity. The transaction has been unani-

mously approved by the boards ofdirectors of both companies, and isexpected to close in second-half2022 (subject to approval byNeoPhotonics’ stockholders, receiptof regulatory approvals, and othercustomary closing conditions). Lumentum reckons that the com-

plementary combination of the twofirms accelerates its exposure toand penetration of some of thefastest-growing areas of the morethan $10bn market for optical com-

ponents used in cloud and telecomnetwork infrastructure. “The integ-rated company will be better posi-tioned to serve the needs of aglobal customer base that isincreasingly utilizing photonics toaccelerate the shift to digital andvirtual approaches to work and life,the proliferation of IoT, 5G andnext-generation mobile networks,and the transition to advancedcloud computing architectures,”says Lumentum. “The combinationcreates a stronger partner for cus-tomers, with the ability and intentto invest strongly in innovation andmanufacturing capacity,” it adds. “With NeoPhotonics, we’re making

another important investment inbetter serving our customers andexpanding our photonics capabilitiesat a time when photonics are at theforefront of favorable long-termmarket trends,” says president &CEO Alan Lowe. “At the center ofour strategy is a relentless focus ondeveloping a differentiated portfoliowith the most innovative productsand technology in our industry...Adding NeoPhotonics’ differentiatedproducts and technology and inno-vative R&D team is consistent withthis strategy and, together, we willbetter meet the growing need fornext-generation optical networkingsolutions,” he adds. “The increasing global demand for

our ultra-pure light tunable lasersand photonics technologies forspeed-over-distance applications is

more apparent than ever, andLumentum is the ideal partner toserve our customers on a largerscale,” says NeoPhotonics’ president,CEO & chairman Tim Jenks.“Lumentum recognizes the impor-tance of NeoPhotonics’ differenti-ated photonic technology andproducts, which are well positionedfor accelerated growth in the coming years,” he believes. “The combination’s complementaryproduct portfolio, increased scale,breadth of customer applicationknowledge, and R&D capabilitieswill accelerate innovation, betterserve customers, and deliver significant and immediate value toour stockholders.” Lumentum reckons that there are

significant efficiency gains to bemade through the acquisition,derived from increased scale,reducing redundancies and lever-aging the best capabilities in thecombination. The combined firm isexpected to generate more than$50m in annual run-rate synergieswithin 24 months of the finalizingthe acquisition. The transaction is expected to be immediatelyaccretive to combined non-GAAPearnings per share upon closing.The combined company is expectedto have a solid balance sheet andstrong operating cash flows, creatingsubstantial financial flexibility topursue continued growth initiatives. www.neophotonics.com www.lumentum.com

Lumentum acquiring NeoPhotonics for $918m Up to $50m in term loans to be provided to fund anticipated growth

REGISTERfor Semiconductor Today free at

www.semiconductor-today.com

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

66

For its fiscal first-quarter 2022(ended 2 October 2021), LumentumHoldings Inc of San Jose, CA, USA(which designs and makes photon-ics products for optical networksand lasers for industrial and consumer markets) has reportedrevenue of $448.4m (exceedingthe $430–445m guidance range),up 14.4% on $392.1m last quarterbut down slightly (by 0.9%) on$452.4m a year ago. “Driven by strong demand, first-

quarter financial results were aboveour guidance ranges across all metrics,” says president & CEO AlanLowe. “Our Industrial & Consumerproduct lines and our CommercialLasers segment revenues wereahead of expectations, which morethan offset the [greater thanexpected] impact of semiconductorshortages in our Telecom and Datacom product lines,” he adds.Demand that went unfulfilledimpacted revenue by more than$30m, mostly in reconfigurableoptical add/drop multiplexers(ROADMs) and ROADM line-cards(which have the heaviest concen-tration of semiconductors on them)but also in transmission products.“As we went through the quarter, it actually got tougher.” Optical Communications segment

revenue was $406m (90.5% oftotal revenue), down 5.3% on$428.5m (94.7% of total revenue)a year ago. However, this is up14.3% on $355.2m last quarter,since record first-quarter Industrial& Consumer revenue was upstrongly, more than doubling from$98.8m last quarter to $190m —due to seasonality in the consumermarket, such as vertical-cavity surface-emitting lasers (VCSELs)for 3D sensing applications — butalso up 14% from $167.2m a yearago, aided by continued design-inactivities and ramps in automotive

light detection & ranging (LiDAR),driver monitoring and other newapplications. In contrast, Telecom &Datacom revenue fell further, from$261.3m a year ago and $256.4mlast quarter to $216m. However,strong cloud data-center end-marketdemand drove record externallymodulated laser (EML) datacomchip revenue (which has roughlydoubled over the last five quarters).Also, terrestrial pump laser revenuegrew again to new multi-yearhighs. “Elevated pump shipmentsfrequently have been a leadingindicator of future telecomdemand,” remarks Lowe. Commercial Lasers segment

revenue was $42.4m (9.5% of totalrevenue), up 14.9% on $36.9mlast quarter and 77.4% on $23.9m(just 5.3% of total revenue) a year ago due to the ongoing (andfaster-than-expected) recoveryfrom the impact of COVID-19 onfiber laser demand. On a non-GAAP basis, gross margin

was 55%, up from 47.7% last quarterand 52% a year ago, due to a bettermix of products and improvementsin Optical Communications andLasers segment gross margins.“Demand mix is shifting to productsaligned with next-generation customer solutions, many of whichare just ramping,” says the firm. Optical Communications segment

gross margin was 55.6%, up on

47.7% last quarter and 52.5% ayear ago, due primarily to a richerproduct mix. Commercial Lasers gross margin

was 49.1%, up on 48.5% last quarterand 43.5% a year ago due tohigher volumes (which are helpingto reduce manufacturing overheadexpenses). Operating expenses were $87.8m

(19.6% of revenue), up from $82.7m(18.3% of revenue) a year ago butcut from $90.6m (23.1% of revenue)last quarter. Specifically, selling,general & administrative (SG&A)expense was $38.8m and R&Dexpense was $49m. Operating income was $158.9m

(operating margin of 35.4%, abovethe expected 30.5–32.5%), upfrom $96.6m (24.6% margin) lastquarter and a then-record $152.5m(33.7% margin) a year ago. Net income was $135.1m

($1.79 per diluted share, exceedingthe $1.47–1.61 guidance), up from$81.9m ($1.06 per diluted share)last quarter and the then-record$131.2m ($1.68 per diluted share)a year ago. During fiscal Q1, Lumentum

purchased 1.1 million of its sharesfor $91.7m (so, to date, the firm hasrepurchased a total of 4.2 million of shares for $333m under its$700m share buyback program). Total cash, cash equivalents and

short-term investments hence fell

Lumentum’s quarterly revenue hit more than expectedby semiconductor shortage Increasing semiconductor supply to allow Telecom & Datacom recovery in December quarter

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

67

by $61.4m duringthe quarter, from$1946m to$1884.6m. For fiscal second-

quarter 2022 (toend-December2021), Lumentumexpects revenue of$435–455m. Thisincludes Telecomand Datacom revenue up quarter-on-quarterdue to the increas-ing semiconductorsupply. However,demand is increas-ing even faster than supply. Due to semiconductor and materialshortages, more than $40m ofdemand is expected to go unsatisfied.Industrial & Consumer revenue(e.g. VCSELs for 3D sensing) willbe down sequentially due to seasonality. “We expect this year’sdemand trend to be more like thatof fiscal 2020 than that of fiscal2021, since COVID-19 delayed key customer programs last year,says Lowe. “Commercial Lasers isrecovering ahead of our originalexpectations, with strong kilowattfiber laser sales.” Lasers revenueshould be up again sequentially,with strength across all major product lines.

“Demand continues to be strong,particularly in Telecom and Datacom as well as CommercialLasers,” summarizes Lowe. “Whilewe are increasing our supply ofsemiconductors, we expect the gapbetween demand and supply forour products in the second quarterwill be larger than it was in the firstquarter, and we have incorporatedthis in our guidance,” he notes.Operating margin is expected to fallto 30–32%. Diluted earnings pershare should fall to $1.47–1.64. “We’re continuing to add capacity,”

says Lowe. “Through the first halfof the calendar year, the EML busi-ness will benefit from the additionswe talked about over a year ago,

and those are coming online now,”he adds. “Despite near-term supply chal-

lenges, we are seeing a favorabledemand environment that we expectwill continue throughout fiscal 2022,”says Lowe. “Our markets are drivenby powerful long-term trends, andcustomers have communicatedthat they are seeing acceleratingend-market demand for their next-generation solutions,” he adds.“We have been successful in devel-oping differentiated new productsand designing them into market-leading customers for their next-generation solutions, many ofwhich are just starting to ramp.” www.lumentum.com

On 4 November, Lumentum alsoannounced that it had entered intoa definitive agreement to acquireSan Jose-based NeoPhotonicsCorp — a vertically integrateddesigner and manufacturer of silicon photonics and hybrid photonic integrated circuit (PIC)-based lasers, modules and subsystems for high-speed communications — for $16 pershare in cash (a total equity valueof about $918m), a premium ofabout 39% to NeoPhotonics’ closing stock price on 3 November.Lumentum intends to finance thetransaction through cash from the

combined company’s balancesheet. As part of the transaction,Lumentum will provide up to $50min term loans to NeoPhotonics tofund anticipated growth, whichmay require increased workingcapital and manufacturing capacity.The deal has been unanimouslyapproved by the boards of directorsof both companies. The transaction is expected to

be immediately accretive to non-GAAP earnings per share uponclosing. Given the complementarynature of the businesses, Lumentumexpects to generate more than$50m of annual run-rate synergies

within 24 months. Cost of goodssold (COGS) synergies areexpected to be more than 60% ofthis total, driven by manufacturinginfrastructure and supply chainefficiencies. Operating expensesynergies will be driven by organi-zational efficiencies related toaligning and integrating businessprocesses post-closing and servinga similar customer base. The transaction to currently

expect to close in calendar second-half 2022 (subject to the approvalby NeoPhotonics’ stockholders,regulatory approvals, and othercustomary closing conditions).

Update on acquisition of NeoPhotonics

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

68

The board of directors of POET — a designer and developer of thePOET Optical Interposer and photonic integrated circuits (PICs) forthe data-center and telecom markets— has appointed a sub-committeeconsisting of three independentmembers to determine the timingand other matters related to itsplanned listing on the NASDAQCapital Market. The sub-committee will consider

and recommend to the full boardthe selection of a lead underwriterto support the US listing, and thetiming and ratio for a consolidationof the firm’s outstanding shares

within the ratios previouslyapproved by its shareholders. Additionally, the sub-committee willevaluate the potential for up-listingto the TSX from the TSX VentureExchange, and the timing andsequencing of each of these indi-vidual steps. The sub-committee will work with

the firm’s senior management andcompany counsel on all aspects ofthe process and is expected to reportits findings to the board by its nextscheduled meeting in early 2022. “Our board has taken another step

in a process that we initiated earlierthis year,” says chairman & CEO

Suresh Venkatesan. “We recentlysecured the approval from share-holders to consolidate our out-standing shares, changed transferagents to ensure DTC eligibility formore seamless trading of ourstock, and made application to theNasdaq, with a few remaining itemsto be completed for the application,”he adds. “The exact timing of thelistings, their sequence and anyrelated public offering of shares willbe taken up by the sub-committeewith the objective of presenting theoptimal timing for a listing in orderto maximize value to our share-holders.”

POET Technologies Inc of Toronto,Ontario, Canada has agreed thefirst phase of a supply agreementwith a global supplier of lasers andother components used in high-speed optical networking equipment.The companies will collaborate onthe design and production of flip-chippable continuous wave (CW)high-power lasers for use in thePOET’s 400G optical engines. In this first phase, POET will work

with the supplier on a customizedversion of its existing flip-chippableproduction CW laser. The cus-tomization to the physical designwould enable the existing laser tobe compatible with POET’s OpticalInterposer platform, which enablespassive, wafer-scale hybrid integ-ration of lasers to produce high-performance optical engines. CW

lasers, combined with a silicon pho-tonics-based modulator, will beincorporated into transmit opticalengines operating at 400G speedsand beyond. POET has issued a purchase order

to the supplier for the first phase ofthe project, covering the designrequirements and operating specsof the laser. In a subsequent phase,the supplier will build and supplyknown good lasers for use by POET in the production of 400Goptical engines. “The supplier’s lasers are among

the most reliable high-performancelasers on the global market. Ourcollaboration is another example ofPOET engaging with well-established,highly regarded companies in ourindustry,” comments president &general manager Vivek Rajgarhia.

“For most prospective customers,including the tier-1 network equip-ment suppliers and the hyperscaledata-center operators, the incorpo-ration of the supplier’s lasers in our400G designs will significantlyincrease their confidence about theoperating performance and reliabilityof our products,” he reckons. “The fact that the supplier is alreadyproducing flip-chip lasers providesPOET a shorter time-to-market. Ifthis project goes as we anticipate,we expect to have initial productionchips available by mid-2022, whichaligns with our roadmap for 400Goptical engine beta-sample avail-ability. This is a key move by POETto secure its laser supply in the faceof a continued supply-constrainedenvironment.” www.poet-technologies.com

POET agrees first phase of laser supply deal for Optical Interposer platform Design requirements and operating specs to be followed by production phase for 400G optical engines

POET has raised about US$14.1mon the exercise of the CDN$0.52warrants that were issued as partof its public offering in 2016. Thewarrants expired on 2 November.

Since the original date ofissuance on 2 November 2016, a combined 33,867,000 warrantswere exercised of the total34,800,000 warrants issued,

generating gross proceeds ofUS$14.1m. Of these, 13,115,750 have been exercised since 30 June, netting the firm aboutUS$5.4m in additional capital.

POET appoints board sub-committee to plan Nasdaq listing

POET raises US$14m from 2016 warrants

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

69

For third-quarter 2021, POET Tech-nologies Inc of Toronto, Ontario,Canada — a designer and developerof the POET Optical Interposer andphotonic integrated circuits (PICs)for the data-center and telecommarkets — has reported a net lossof $3.5m ($0.01 per share), levelwith a year ago but cut from $4.4m($0.02 per share) last quarter. Thisincluded R&D costs of $1.2m, downfrom $1.8m last quarter, driven bythe current contraction in the globalsemiconductor chip supply chain.The current supply environmenthas resulted in slower deliveries oforders made by POET. Debt-related finance costs fell

further, from $244,000 a year agoand $95,000 in Q2 to $20,000 in Q3. Other income (including interest)

was $208,000 (up from $14,000 ayear ago and $20,000 in Q2). Thisincluded $187,000 of COVID-19-related PPP loan that was forgiven. During the quarter, POET recognized

a gain of $0.4m on its investmentin the Super Photonics Xiamen(SPX) joint venture with SananIntegrated Circuit Co Ltd of XiamenCity, Fujian province (a subsidiaryof Sanan Optoelectronics Co Ltd,China’s first 6-inch pure-play compound semiconductor waferfoundry). On a non-IFRS basis, cash outflow

from operating activities was –$2.8m,down slightly on –$2.9m a year agobut up from –$2.6m last quarter. POET says that it continues to

execute on its stated strategic planand achieved the following mile-stones during and subsequent toQ3/2021:

Shipped its first 100G Transmit(Tx) Optical Engine sample to aleading European optical systemscompany; Participated in the two opto-electronics conferences/exhibi-tions in China (CIOE 2021 andICCSZ) that generated numerouscustomer engagements in bothits announced Optical Engineproducts and its Optical Interposerplatform. Notably, transceivermodule supplier ShenzhenFibertop Technology Co Ltd committed to incorporating POET Optical Engines in its line of optical modules as soon asproduction Optical Engines areavailable; Secured a commitment from aleading network systems com-pany for a unique multi-enginedesign for 100G CWDM4 and100G LR4 Optical Engines basedon the Optical Interposer. Thecombined value of the NRE (non-recurring engineering) andthe purchase order for initialunits exceeds US$1.2m; Agreed the first phase of a supply agreement with a leadingglobal supplier of lasers andother components used in high-speed optical networking equip-ment. The firms will collaborateon the design and production offlip-chippable continuous-wavehigh-power lasers for use inPOET’s 400G Optical Engines; Converted all but $8000 of convertible debentures issuedduring 2019; Improved the cash position as aresult of 97% of previously out-

standing 2 November warrantsbeing exercised prior to theirexpiration; Ended the quarter with cashand cash equivalents of $20.3m(up from $6.9m at the end of2020); Reported an unaudited cashposition subsequent to quarter-end of $23.7m (as of 3November). “The supply chain challenges

facing the industry have definitelyimpacted our schedules for deliveryof initial samples to customers.Nevertheless, we are now produc-ing samples in the volumes we hadexpected and are shipping thosesamples to customers,” says chair-man & CEO Dr Suresh Venkatesan.“While it has been a long time incoming, we delivered the first com-plete Optical Engine to an initialcustomer,” he adds. “We had agreat showing at CIOE in China,with both Super Photonics Xiamen(SPX) and our Shenzhen team following up on the interest in ourindustry-standard Optical Enginedesigns,” Venkatesan continues. “In addition to our standard prod-

ucts, we are seeing substantialinterest in novel architectures andin our 400G/800G and co-packagedoptics designs. To move these projects forward, we are collaborat-ing with one of the world’s leadinglaser suppliers, as part of a determined effort to meet and surpass the expectations of theindustry for advanced products aswe enter the new year,” Venkatesanconcludes. www.poet-technologies.com

POET’s Q3 sample deliveries slowed by industry supply chain challenges Firm now producing samples in expected volumes and shipping to customers

REGISTERfor Semiconductor Today free at

www.semiconductor-today.com

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

70

Engineered materials and optoelectronic component makerII-VI Inc of Saxonburg, PA, USAsays that Dr Julie Sheridan Eng(senior VP & general manager,Optoelectronic Devices & Modulesbusiness unit) and Chris Cole (II-VI adviser) have been electedby the Optica board of directors toits 2022 Fellows Class. Optica Fellows are members of

Optica (formerly the Optical Societyof America, OSA) who have servedwith distinction in the advancementof optics and photonics throughtheir sustained contributions to

education, research, engineering,business and society. Fellows areelected annually by the Opticaboard of directors in a highly competitive process. Eng was elected for her contribu-

tions in technology developmentand productization of fiber-optictransceivers and 3D sensingVCSELs. Cole was elected for pioneering

contributions to architectures,specifications, standardization and product development of 10G, 40G, 100G, 200G and 400Goptical interfaces.

“The election of Julie and Chris to the distinguished ranks ofOptica Fellows speaks to their lifelong scientific curiosity andtechnical ingenuity, as well as theiroutstanding career contributionsas innovators in photonics, mostnotably for datacom transceiversand laser arrays for 3D sensing,which are contributing to thegrowth of our business whileenabling the convergence of communications, computing andsensing,” comments II-VI’s CEO Dr Vincent D. Mattera Jr. www.ii-vi.com

II-VI’s Julie Eng and Chris Cole elected Optica Fellows Eng elected for work on transceivers and 3D sensing VCSELs

For its fiscal first-quarter 2022 (toend-September 2021), engineeredmaterials and optoelectronic component maker II-VI Inc of Saxonburg, PA, USA has reportedrevenue of $795.1m, up 9% on$728.1m a year ago, driven bygrowth in virtually all of the firm’send markets. Revenue for Photonics Solutions

was $536m, up 7.7% on $497.7ma year ago. Revenue for Compound Semicon-

ductors was $259.1m, up 12.5%on $230.4m a year ago. Specifically, the firm’s Industrial

business grew by more than 50%year-on-year. High-speed200/400/800G datacom and telecomrevenues have significantly acceler-ated, growing by nearly 70%sequentially. “This growth wasunderpinned by our industry-leadinglaser diode technologies that enabledthe highest-output-power 400Gcoherent pluggable transceiversavailable in the marketplace,” saysCEO Dr Vincent D. Mattera Jr. On a non-GAAP basis, gross mar-

gin was up from 39.4% a year ago

to 39.8%. Net earnings grew from$100.4m ($0.84 per diluted share)to $117.7m ($0.87 per dilutedshare). Return on sales was upfrom 13.8% to 14.8%. During thequarter, cash and cash equivalentsfell slightly from $1.59bn to$1.56bn, although this is still up on$0.684bn a year ago. “Our customers are growing and

demanding much more from us asthe end markets we serve, and themega-market trends driving them,continue to accelerate,” continuesMattera. “We are looking ahead andaggressively investing to servethese transformative and sustain-able market trends, consistent withour strategic plan,” he adds. “Our integration planning with

Coherent [of Santa Clara, CA,which provides lasers and laser-based technology for scientific,commercial and industrial appli-cations] is well underway [afterapproval by shareholders in lateJune]. All integration planningteams are fully engaged andexcited about the prospect of com-bining our complementary talent,

technology platforms, and scaleacross the value chain,” says Mat-tera. “All remaining regulatoryreviews are progressing on track,with China having formally commenced its review process inSeptember.” The firm continues toexpect that the transaction willclose during calendar first-quarter2022. “Our synergies on the Finisartransaction [acquisition of the Sunnyvale, CA-based fiber-opticcommunications component andsubsystem maker in September2019] now exceed $180m, whichare well ahead of schedule,” con-cludes Mattera. Fiscal year 2022 is starting with

“very strong momentum and sustainable demand signals” thattranslated into another quarterlybookings record of $939m (up 43%year-on-year) and record backlogof $1.4bn. For fiscal second-quarter 2022

(to end-December 2021), II-VIexpects revenue of $790–840mand net earnings of $104–128m($0.75–0.95 per diluted share). www.ii-vi.com

II-VI reports record quarterly bookings of $939mand backlog of $1.4bn Compound Semiconductors revenue grows 12.5% year-on-year

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

71

Engineered materials and optoelec-tronic component maker II-VI Incof Saxonburg, PA, USA says that itis powering all its facilities in Europewith 100% renewable electricitysources. The renewable electricity is sup-

plied to II-VI’s manufacturing oper-ations, R&D sites and sales officesthroughout six European countries(Belgium, Germany, Italy, Sweden,Switzerland, and the UK), encom-passing 615,000ft2 (57,000m2) of

facility space across 12 sites. Topower its footprint across Europe,II-VI is purchasing about 38 millionkilowatt-hours (kWh) per year ofrenewable electricity, eliminatingabout 5800 metric tons of CO2

emissions each year. “II-VI has made it a top priority to

reduce its carbon footprint acrossits global operations,” says Dr Karl-heinz Gulden, senior VP, LaserDevices and Systems business unit. Globally, II-VI has entered into

renewable-electricity contracts for24 sites, including 14 of them nowcovering 100% of their annual electricity usage from renewablesources. Over 20% of II-VI’s globalelectricity needs are now beingsupplied by renewable sourcesacross the USA and Europe. Thepercentage of renewable electricitypowering II-VI’s operations isexpected to grow annually and, inthe future, include the company’smajor manufacturing sites in Asia.

MACOM Technology Solutions Inc ofLowell, MA, USA (which makessemiconductors, components andsubassemblies for analog RF,microwave, millimeter-wave andphotonic applications) says thatHualiang Xiong has been promotedto the newly created position ofpresident of MACOM China, leadingthe firm’s China operations andreporting directly to president &CEO Stephen G. Daly. Xiong joined MACOM in January

2015 and, during his tenure as VPof Asia sales, has supported the

firm’s businessgrowth strategyacross the AsiaPacific region. In his new role,Xiong will beresponsible forMACOM’s activi-ties in China,including

business development, customerrelationships and management ofMACOM’s engineering, operations,quality and applications supportorganizations and facilities. Xiong

has over 20 years of experience inthe semiconductor industry and has established a successful trackrecord of growth and building effec-tive organizations, says MACOM. “Under Hualiang’s leadership, our

China team will continue to achieveamazing results,” believes Daly.“MACOM has a strong customerand employee base in China, and I look forward to working with theteam to further expand our presenceand capabilities in the China market,”says Xiong. www.macom.com

MACOM promotes VP of Asia sales to newly createdposition of MACOM China president Hualiang Xiong to lead China operations, reporting to president & CEO

II-VI Inc powers all its European sites with 100% renewable electricityMajor manufacturing sites in Asia to use more renewable electricity

II-VI Inc of Saxonburg, PA, USA,which provides optical communi-cations solutions for datacom andtelecom networks, participated atthe European Conference on Opti-cal Communication (ECOC 2021)Exhibition Virtual Catch Up (online1–3 November). The firm’s virtualbooth displayed product and tech-nology capabilities from its portfo-lio of telecom and datacomcomponents, modules and sub-assemblies as well as wavelength-

management ROADM and opticalamplifier modules. During the live event, II-VI pre-

sented a video at the ProductFocus Theatre to highlight theunique applications of what isclaimed to be the first high-per-formance compact 400G QSFP-DDcoherent pluggable module. At theheart of the transceiver is anintegrated transmitter and opticalsubassembly (IC-TROSA), whichwas honored with an ECOC Indus-

try Award for product innovation. In addition, II-VI’s chief market-

ing officer Sanjai Parthasarathipresented, during the ECOC Mar-ket Focus replay, ‘Optical Commu-nications for Satellite Networking:From Free Space Optics to Opticsin Space’. II-VI advisor Chris Colealso participated to present ‘A NewSpecification for Multi-WavelengthOptical Laser Sources forAdvanced Integrated Optics’. www.ecocexhibition.com

II-VI participates at ECOC 2021 Exhibition Virtual Catch Up IC-TROSA wins Industry Award for product innovation

Hualiang Xiong.

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

72

At the Asia Communications andPhotonics Conference (ACP 2021) inShanghai, China (24–27 October),Skorpios Technologies Inc of Albuquerque, NM, USA (which provides integrated silicon photonicsproducts based on a proprietary,wafer-scale heterogeneous integ-ration process) presented details ofits approach to co-packaged optics,which provides optical interfacesdirectly at the package level forhigh-speed digital interfaces by utilizing the firm’s Tru-SiPh platform(integrating lasers, modulators andother components on a polarization-insensitive silicon photonics platform).This highly integrated photonic chipcan provide 3.2Tb/s FR4 opticalinterfaces and is small enough that16 can be placed around an IC toprovide 51.2Tb/s as a chip-scaleinterface for the next generation ofhigh-bandwidth switches.

Skorpios’ silicon photonics platformintegrates lasers, electro-absorptionmodulators (EAM), semiconductoroptical amplifiers (SOAs) and photo-diodes (PDs) in III-V compoundsdirectly into silicon-based wafers. Electronics and optics can then be

integrated, burned in and tested atwafer scale. Laser materials arebonded directly to the silicon sub-strate, vastly improving heat man-agement and minimizing the size ofthe laser. Since all laser stripe pro-cessing occurs after bonding, multi-ple devices can be implemented oneach implanted epitaxial layer, andlaser power into the waveguide isoptimized. Similarly, EAMs are builtfrom other implanted epitaxies,reducing size and control complex-ity of the modulator. The thick silicon platform that

Skorpios uses is said to offer sev-eral advantages: low waveguide

loss, low coupling loss, polarizationinsensitivity, and high optical powerhandling. Multiplexing (Mux) anddemultiplexing (DeMux) functionsdo not require tuning. The entireplatform is covered in silicon dioxideafter fabrication, so III-V devicesand facets are environmentally protected, eliminating the need forhermetic packaging. “With our design, we can integrate

all devices on a single small chip,including 16 lasers (plus 16 redun-dant), 32 EAMs, 32 SOAs, 32 PDs,and 8 tuning-free wavelength Mux and DeMux, to provide eightstandard 400Gb/s FR4 links,” noteschief technology officer Glenn Li.“This product is an outstandingdemonstration of highly integratedheterogeneous silicon photonicspossible with our platform,” he adds. www.acpconf.com www.skorpiosinc.com

Skorpios presents co-packaged optics at ACP 2021 3.2Tb/s Tru-SiPh interface chip serves up to 51.2Tb/s switch bandwidth

The Small Form Factor PluggableDouble Density (SFP-DD) Multi-Source Agreement (MSA) Grouphas announced its updated 5.0hardware specifications and draw-ings for the SFP-DD, SFP-DD112and SFP112 pluggable modules.This revision enables SFP112 oper-ating at 112Gbps and SFP-DD112operating at an aggregate rate of224Gbps; aligning with next-generation higher-speed networking,storage and access equipment. The new revision also includes anSFP112 module specification toensure that the industry roadmapfrom SFP28/SFP56 to SFP112 andSFP-DD to SFP-DD112 maintainsoverall compatibility. SFP-DD MSA revision 5.0 hard-

ware specification includes signifi-cant signal integrity enhancementsto address 112Gbps differential signaling. Three new clauses havebeen added as follows: Chapter 5 for SFP112 electrical andmanagement interface requirements,

Chapter 8 for SFP-DD 112Gmechanical and board definition, andChapter 9 for SFP112 mechanicaland board definition. In addition,the new revision includes anePPS/Clock signal definition forSFP-DD/SFP-DD112. Lastly, TS-1000Normative Module and Connectorperformance requirements forSFP112 were added into Appendix A. Maintaining SFP-DD and SFP for future applications This critical addition to the MSAspecification ensures that theindustry will be able to continue toleverage the single-channel andtwo-channel form factors for currentand future generations of equip-ment and market applications.Updating both SFP+ and SFP-DDform factors enables the SFP-DDhost equipment ports to be able toreliably accept SFP112 modules, ashas been a crucial use case withprior generations. Additionally, thiseffort can maintain SFP+ and SFP-DDpower capability alignment with

trends and technologies. The SFP-DDform factor addresses the technicalchallenges of achieving a double-density interface and ensuringmechanical interoperability formodule components produced bydifferent manufacturers while stillenabling use of legacy SFP modules.This updated specification supersedesprevious versions and has updatedmechanical connector dimensions. SFP-DD MSA promoters include

Alibaba Group, Broadcom, Cisco,Dell Technologies, Hewlett PackardEnterprises, Huawei, II-VI Inc,Intel, Juniper Networks, Lumentum,Molex, Nvidia and TE Connectivity.Contributors include Accelink,Amphenol, AOI, Eoptolink, FoxconnInterconnect Technology, FourteInternational, Genesis ConnectedSolutions, Hisense Broadband,Infinera, InnoLight, Maxim Integ-rated, Multilane, Nokia, Senko,Source Photonics, US Conec,Yamaichi Electronics, and ZTE. www.sfp-dd.com

New SFP112 & SFP-DD112 specs from SFP-DD MSA

www.semiconductor-today.com

Choose Semiconductor Today for . . .

MAGAZINE

Accurate and timely coverage of the compound semiconductorand advanced silicon industries

Published 10 times a year and delivered by e-mail and RSS feeds

Targeted 82,000+ international circulation

WEB SITE

Average of over 26,000 unique visitors to the site each month

Google-listed news source

Daily news updates and regular feature articles

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com

Graphensic spun off •Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor•Oclaro and Opnext merge

ISSN 1752-2935 (online)

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Follow us on Twitter: Semiconductor_T

Join our LinkedIn group: Semiconductor Today

Specialty semiconductor and performance materials producer 5N Plus Inc of Montreal, Québec,Canada has completed its acquisi-tion (announced in March) of AZUR SPACE Solar Power GmbH ofHeilbronn, Germany (which developsand manufactures multi-junctionsolar cells based on III-V com-pound semiconductor materials) for€74.6m (subject to post-closingadjustments), in exchange for 6.5 million shares of 5N Plus, to beissued from the treasury estimatedat €13.1m (based on the volume-weighted average trading price forthe seven trading days precedingthe closing) along with a cash pay-ment of €37.7m. Furthermore, 5N Plus will finance working capitalof €23.8m. The cash portion and theworking capital of the transaction isfunded through the firm’s liquidityand senior debt facility. 5N Plus provides purified metals

such as bismuth, gallium, germa-nium, indium, selenium and tellurium, and also produces relatedII-VI semiconducting compoundssuch as cadmium telluride (CdTe),cadmium sulphide (CdS) andindium antimonide (InSb) as pre-cursors for the growth of crystalsfor solar, LED and eco-friendly materials applications. OperatingR&D, manufacturing and commercialcenters in several locations in North America, Asia and Europe(including three in Germany andone in Belgium), the firm suppliesto the thin-film PV renewableenergy industry and is a supplierwithin the US satellite supply chain. “AZUR’s acquisition is the linchpin

of our strategic transformationtoward critical material technology,”says 5N Plus’ president & CEOArjang Roshan. “Our combined poolof talent and AZUR’s cutting-edgespace technology are key enablersfor our entry into the larger marketswithin the field of specialty semi-conductor substrates.”

Considering thestrategic impor-tance of AZURand its advancedtechnologies,the relevant reg-ulatory authori-ties conductedan exhaustivereview to vet 5NPlus as anappropriateacquirer. Thefirm says thatthe acquisition isthe cornerstoneof its transitionto advancedmaterials basedon cutting-edgetechnology. Inalignment with itsgrowth strategy,the transactionwill enable 5NPlus to expand itsproduct portfoliowithin specialtysemiconductorsand position thefirm to benefitfrom potentialgrowth linked toseveral criticalindustries thatrely on advanced compound semi-conductors in the future.With nearly 60 years of experi-

ence in space solar cell manufac-turing, AZUR is supported by nearly120 patent families. 5N Plus reck-ons that its integration creates aglobal enterprise with comprehen-sive competencies across the spe-cialty semiconductor value chainthat rivals global competitors, fuelsinnovation and grants entry tolarger markets with significantgrowth opportunities. 5N Plus says that, as well as a

highly skilled and experiencedworkforce, the acquisition of AZURbrings incremental sales revenue in

excess of €50m, a well-establishedportfolio of business providing€5–7m EBITDA per year, strongbacklog, and solid financial supportfrom several agencies (confirmingAZUR’s strategic importance). The combination of 5N Plus and

AZUR forms a “one-of-a-kind”ecosystem that will enable: a fully integrated suite of compound semiconductor products(a family of IV, III-VI and II-VImaterials) from procurement ofcritical materials to finished epi-taxy engineered substrates; entry and access to new marketswith higher total addressablemarket (TAM) such as high-powerelectronics, electric mobility,wireless charging and advancedcommunications; closed-loop management ofcritical materials including muchof its own in-process by-productsand those of its customers —enhancing sustainability andcompetitiveness of the businessmodel; unlocking tangible synergies,resulting in more value capturefrom the space and security markets, it is reckoned. It is also reckoned that the

integrated company will be morediversified, with improved productmix, and will: attain 5N Plus’ strategic aim to expand its total addressablemarket; further reduce the reliance on asingle customer; further reduce the exposure tometal price notations; confirm its merger & acquisition(M&A) focus on specialty semi-conductors; establish 5N Plus’ importance inthe critical material space and itsstrategic position, as perceivedby various agencies and govern-mental bodieswww.azurspace.comwww.5nplus.com

AZUR’sacquisition isthe linchpin ofour strategictransformationtoward criticalmaterialtechnology,”says 5N Plus’president &CEO ArjangRoshan. “Our combinedpool of talentand AZUR’scutting-edgespacetechnology arekey enablersfor our entryinto the largermarketswithin thefield ofspecialtysemiconductorsubstrates

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

74

5N Plus completes acquisition of AZUR SPACE for €74.6m Combined firm spans critical IV, III–VI and II–VI materials tofinished epitaxy engineered substrates

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

75

Specialty semiconductor and performance materials producer 5N Plus Inc of Montreal, Québec,Canada says that Arjang Roshanwill be stepping down as president& CEO, effective 1 December. However, he will continue to act asa strategic advisor to the board ofdirectors, the interim president &CEO and the company until a permanent president & CEO isappointed, which is currentlyexpected to be on or before thefirm’s 2022 annual general meetingof shareholders. 5N Plus provides purified metals

such as bismuth, gallium, germanium,indium, selenium and tellurium,and also produces related II-VIsemiconducting compounds suchas cadmium telluride (CdTe), cadmium sulphide (CdS) andindium antimonide (InSb) as pre-cursors for the growth of crystals forsolar, LED and eco-friendly materialsapplications. Sectors addressedinclude renewable energy, security,space, pharmaceutical, medicalimaging, and industrial and additivemanufacturing.

“Arjang was with us for six years,during which he implemented astrategy and business plan thatallowed for the reorganization ofthe company’s affairs, the stabiliza-tion of the company’s EBITDA andsolidification of our balance sheet,and the refocusing of our opera-tions on value-added markets, asevidenced most recently by ouracquisition of Azur Space,” says LucBertrand, chairman of the board ofdirectors. “The recent Azur Space acquisi-

tion will uniquely position 5N Plusfor space and other essential mar-kets,” believes Roshan. “The past16 years of my career have beenspent abroad and in the past 6years I have been often away frommy home and family in the USA.After consulting with the board ofdirectors, we have agreed that anew president and chief executiveofficer should lead the company inits next chapter,” he adds. Gervais Jacques has been

appointed interim president & CEO,effective 1 December. Jacquesbrings “decades of leadership expe-

rience managing global busi-nesses,” says Bertrand. “During thetransition period, the board ofdirectors, the interim president &chief executive officer and the com-pany’s senior management, withthe support of Mr Roshan, will con-tinue to work on the orderly integ-ration of Azur Space,” he adds. 5N Plus says that Jacques has

extensive knowledge of the affairsof the company and its industryand is a seasoned business execu-tive with over 30 years of experi-ence in metals. Jacques is theformer managing director (and pre-viously chief commercial officer) ofRio Tinto Aluminum, where he ledmore than 6000 staff in five coun-tries. Jacques also previouslyserved as chairman of the Interna-tional Aluminum Institute (the onlyCanadian ever appointed to thisposition) and as chairman of theCanadian Aluminum Association.He is currently chairman of theboard of Nemaska Lithium andAirex Energy, and is a board mem-ber of Alliance Magnesium. www.5nplus.com

5N Plus’ president & CEO steps down Roshan to advise interim CEO while replacement sought

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

76

First Solar Inc of Tempe, AZ, USAsays that San Francisco-based solarenergy project developer and man-ager Lightsource bp and integratedenergy company bp (America’slargest energy investor since 2005,which has a 50% stake in the jointventure) have placed multi-yearorders for up to 5.4GW combinedof its cadmium telluride (CdTe)thin-film photovoltaic (PV) solarmodules. As part of the deal, Lightsource bp and bp have placedfirm orders for about 4.4GWDC ofmodules, with options for an additional 1GWDC. Lightsource bp has agreed to

procure up to 4.3GWDC of modulesfor its US utility-scale projects, andbp will procure up to 1.1GWDC topower their projects being devel-oped by Lightsource bp. bpacquired the projects as part of itsnet zero ambition and target togrow its net developed renewablegenerating capacity to 20GW by2025 and 50GW by 2030. Planneddeployments for both companiesinclude projects in Arkansas, Kentucky, Ohio, Pennsylvania andTexas. The framework agreements, the

largest in First Solar’s history, willprovide modules scheduled to bedelivered between 2023 and 2025to support the companies’ solardevelopment pipelines in the USA.Under the agreement, First Solarhas firm orders for 1.55GWDC ofmodules in 2023, 1.3GWDC in 2024,and 1.55GWDC in 2025. “This landmark solar industry

procurement deal is a testimony to Lightsource bp’s exponentialgrowth in the United States, andour confidence in First Solar’s tech-nology,” says Kevin Smith, CEOAmericas, Lightsource bp. “As wecontinue to grow and progress our10GW development pipeline acrossAmerica, in addition to our partnerbp’s 9GWs, executing significantlong-term procurement agree-ments with bankable, world-classsuppliers like First Solar enables usto deliver on our growth plans and

industry-leading global target of25GW of solar by 2025,” he adds. “To help reach our net-zero ambi-

tion and develop 50GW of renew-able power by the end of thisdecade, we continue to invest inclean, reliable energy in the US,”says Felipe Arbelaez, bp’s senior VPof zero carbon energy. “Today’sannouncement reflects the speed inwhich we’re moving... These mod-ules are a critical step in deliveringour 9GW solar pipeline,” he adds.bp aims to deliver 20GW of devel-oped renewables by 2025. As well as having access to mod-

ules produced at First Solar’s fullyvertically integrated manufacturingcomplex in Ohio, Lightsource bpand bp will benefit from the evolu-tion of the firm’s CdTe thin-filmmodule technology platform overthe span of the agreement. “The US solar industry is at an

important inflection point where itmust continue the charge towardsdelivering 45% of our country’selectricity by 2050 while addressingthe risks and uncertainty posed byincreasingly volatile solar panelproduction, pricing and supply,”says First Solar’s chief commercialofficer Georges Antoun. “Our abilityto help our customers manage theserisks by providing long-term firmpricing and supply commitments,

backed by First Solar’sreputation, is a key dif-ferentiator,” he reckons. In addition to the

multi-year module salesagreement, First Solaralso signed a memoran-dum of understanding(MoU) to explore oppor-tunities to source elec-tricity from generationassets developed,owned and operated byLightsource bp in Ohio,where First Solar oper-ates the Western Hemi-sphere’s largest solarmanufacturing foot-print. When it joinedRE100 in 2020, First

Solar committed to powering 100%of its global manufacturing opera-tions with renewable energy by2028, with an interim goal of tran-sitioning its facilities in the US tocarbon-free electricity by 2026. In June, First Solar announced

that it is investing $680m toexpand America’s domestic PVsolar manufacturing capacity by3.3GW annually by building its third US manufacturing facility (inLake Township, Ohio). The newfacility is expected to be commis-sioned in first-half 2023 and, whenfully operational, will scale thefirm’s Northwest Ohio footprint to atotal annual capacity of 6GW, whichis believed to make it the largestfully vertically integrated solarmanufacturing complex outsideChina. In addition to its Ohio manufac-

turing facilities, First Solar alsooperates factories in Vietnam andMalaysia, and has previouslyannounced plans to build a new3.3GW factory in India that isexpected to be commissioned insecond-half 2023. With First Solar’sexpansion in the USA and India andoptimization of its existing fleet, thefirm anticipates that its nameplatemanufacturing capacity will doubleto 16GW by 2024. www.firstsolar.com

Lightsource/bp order up to 5.4GW of First Solar modules

The 163MW Elm Branch solar power project inTexas was developed by Lightsource bp and ispowered by First Solar Series 6 photovoltaicmodules. (Photo: Lightsource bp)

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

77

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Together with the Italian Ministryfor Economic Development (MISE)and Invitalia (the Italian Ministry ofFinance’s state investment andeconomic development institution),the Puglia regional authorities haveconfirmed that Midsummer AB ofJärfälla, near Stockholm, Sweden –a provider of turnkey productionlines as well as flexible, lightweightcopper indium gallium diselenide(CIGS) thin-film solar panels forbuilding-integrated photovoltaics(BIPV) – will receive incentives ofabout €38m in the form of grantsand soft loans to build a factory andcommence manufacturing of light,discreet and environmentallyfriendly thin-film solar roofs in Bari,Puglia, Italy for the global market. The production capacity at the

Italian factory will be 50MW peryear. Midsummer’s productioncapacity will hence expand will tenfold, says CEO Sven Lindström.“The finished factory will make Midsummer the largest producer of thin-film solar cells in Europe,”he reckons. Midsummer has already pur-

chased a plant in Bari in southernItaly. The subsidiary Midsummer

Italia will own and operate the fac-tory and, in the initial stage, willorder a number of DUO solar cellproduction systems from its parentcompany, as well as recruiting staffin both Italy and Sweden. The fac-tory is expected to commence pro-duction in summer 2022. The entire project represents an

investment of about €66m, ofwhich Midsummer will receiveabout 35% in grants and 23% in‘soft loans’ from the Italian statevia its investment arm Invitalia,whose aim is to increase Italy’seconomic growth and focuses onstrategic sectors for developmentand employment (including renew-able energy). Demand for Midsummer’s solar

roofs is very strong in the Nordiccountries, with an increase in orderintake for third-quarter 2021 of500%. The solar roofs manufac-tured in Italy will be sold to cus-tomers in mainly southern Europe.Midsummer comments that Italyoffers homeowners favorable subsi-dies (of over 100%) for the instal-lation of solar cells, allowing ownersof private property to install solarcells on their houses at no cost.

Midsummer’s Italian factory andits grants and loans comprise thefirst Swedish project to be includedin Italy’s ‘Recovery and Resilienceplan’, which covers a total of justover €190bn from the EU. The decision was announced just

after a visit to Italy by a largeSwedish trade delegation, in whichMidsummer took part. Other mem-bers of the delegation were Swe-den’s Crown Princess Victoria andPrince Daniel, Sweden’s Minister forForeign Trade and Nordic AffairsAnna Hallberg and Sweden’sAmbassador to Italy Jan Björklund. “Midsummer’s expansion in Italy

is a concrete example of coopera-tion between Sweden and Italy thatis beneficial to both countries andwell aligned with several of thetrade delegation’s focus areas —renewable energy and innovativetechnology,” says Björklund, Sweden’s Ambassador to Italy. ”We truly appreciate the support

that has been given to us from theSwedish Embassy in Rome, theMinistry for Foreign Affairs andBusiness Sweden,” comments Lind-ström. www.midsummer.se

Midsummer receives €38m in Italian grants to set upsolar roof production plant in Bari 50MW factory to expand Midsummer’s annual capacity tenfold

University of Tokyo in Japan has demonstratedlaser diodes emitting in the 1360–1460nm E-band range [Jinkwan Kwoen et al, Optics

Express, v29, p29378, 2021]. The devices used amulti-functional metamorphic buffer (MFMB) to enableindium arsenide (InAs) quantum dot (QD) structuresto operate at these wavelengths.Until relatively recently (<20 years), the development

of such devices was hindered by optical fibers for theE-band strongly attenuating transmission, due to thepresence of OH groups in the glass material. Watervapor has an absorption band around 1400nm.But now that ‘dry’ ITU-T G.652.D optical fiber resulting

from new dehydration techniques has become availablethe search is on for efficient emitters in the E-band.Indeed, the dry fibers now give better performance

Technology focus: Lasers

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

78

Researchers extend wavelength of InAs QD laser diodes to 1428nm, continuous wave, enabling use of dehydrated glass for transmission.

Figure 1. (a) Schematic and (b) scanning electron microscope images of InAs/InGaAs QD laser device onMFMB layer.

Indium arsenide quantum dotlaser for E-band dry fiber

than fibers designed forO-band (1260–1360nm)transmission. Theresearchers also expresshopes that the meta-morphic technique canbe applied to create optical emitters on a silicon photonics platform.Solid-source molecular

beam epitaxy (SS-MBE)on n-type (001) gallium arsenide (GaAs)substrate was used tocreate the InAs QD lasermaterial. The structureincluded a metamorphicbuffer grown on an initial200nm GaAs bufferlayer. The strained layersuperlattice (SLS) consisted of three indium aluminium galliumarsenide (InAlGaAs)strained layer super-lattices separated by1.5nm AlAs to filter outthreading dislocations and other defects. Each strained layer superlattice consisted of 5 pairs of10nm/10nm In0.23Al0.35Ga0.42As/In0.33Al0.35Ga0.32Assandwiched betweentwo layers of 100nmIn0.15Al0.35Ga0.50As. The AlAs interlayerswere designed to avoidindium desorption during 700°C thermalannealing steps. The laser structure

was 600nm n-In0.23Al0.35Ga0.42Ascladding, 50nm InAsQDs, 1500nm p-In0.23Al0.35Ga0.42As and400nm p-In0.23Ga0.77Ascontact. The QD layerconsisted of 8 layers ofInAs QDs in an InGaAsmatrix.Photoluminescence

spectra on the struc-ture showed a peak at1440nm (861meV)with 44meV (74nm)full-width at half-maxi-mum (FWHM).

Ridge lasers with 6µm-wide and 8µm-wide mesaswere fabricated (Figure 1). Sputtered silicon dioxide(SiO2) provided electrical isolation, and presumablysurface passivation. The annealed electrodes consistedof gold-germanium-nickel/gold (AuGeNi/Au). The finallaser bars featured 1.5mm or 2.0mm cavity length.The cleaved facets were uncoated. The metamorphic buffer allowed an increase in

lattice constant from 0.56nm for GaAs to 0.575nm for In0.23Al0.35Ga0.42As. This allowed the InAs QDs toemit longer-wavelength light than usual. The laser diodes were operated under pulsed and

continuous wave (CW) modes. At 20°C, the pulse lasingthreshold was 72mA, representing current density of380A/cm2, for a 1.5mmx6µm laser diode. The thresh-old characteristic temperature T0 was approximatelyconstant at 44K up to 70°C. The slope efficiency was 92.3mW/A at 20°C, 70.4mW/A at 50°C, and23.2mW/A at 80°C.In CW operation, the threshold was 69.2mA

(360A/cm2) at 25°C on a 2mmx8µm laser diode. The researchers comment: “This is much smaller thanthe threshold current density of ~1kA/cm2 reportedfrom InGaAsP MQW lasers and GaInNAs MQW lasers.” Multimode lasing was observed with a ground-state

peak at 1428nm. https://doi.org/10.1364/OE.433030Author: Mike Cooke

Until relatively recently(<20 years), thedevelopment of suchdevices was hindered by optical fibers for the E-band stronglyattenuatingtransmission, due to the presence of OHgroups in the glassmaterial. Water vaporhas an absorption bandaround 1400nm. But now that ‘dry’ ITU-TG.652.D optical fiberresulting from newdehydration techniqueshas become availablethe search is on forefficient emitters in the E-band

Technology focus: Lasers

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

79

Figure 2. Output power-current-voltage (L–I–V) characteristics under CW operationat 25°C for the InAs/InGaAs QD laser on MFMB layer.

Hong Kong University of Sci-ence and Technology (HKUST)in China claims the first red

micro-disk lasers (MDLs) fabricatedusing material from direct epitaxy ofindium phosphide (InP) quantum dots(QDs) on silicon (Si), with a view tothe integration of silicon photonicswith red (625–700nm) and near-infrared (NIR, 700–1400nm) lasers[Wei Luo et al, Optics Letters, v46,p4514, 2021].Silicon photonics is seeing much

interest from areas such as opticalcommunication, bio-photonics, andsensing. The red/NIR areas of thespectrum accessible to InP QDs areattractive for displays, underwatercommunication, and molecular diag-nostics.A range of compact light sources

integrated into silicon photonics structures is often needed for suchapplications. Efficient semiconductorlight sources are presently made fromthe various III–V compounds, whichoften don’t mix easily with silicon productionprocesses. Direct epitaxy, creating monolithic devices,would be much preferred over the direct wafer bondingtechniques that presently dominate commercial production. One barrier to direct epitaxy is the large numbers of

defects that tend to be generated inside the III–Vsemiconductor structures. QDs are less prone to beaffected by defects, presumably due to the carrierrecombination processes being more confined in thelocalized states of the individual dots.The InP QD structures were grown on gallium

arsenide (GaAs)/Si templates using metal-organicchemical vapor deposition (MOCVD). A separate three-step MOCVD process was used to create theGaAs templates on (001) Si to which was added threesets of strained-layer superlattices (SLS) separated by300nm GaAs spacers to further reduce defect density(Figure 1). The SLS consisted of 10 pairs of9.5nm/12nm In0.16Ga0.84As/GaAs.

The laser structure itself consisted of an aluminiumgallium arsenide (AlGaAs) sacrificial layer, AlGaInPlower and upper cladding, and inbetween InP QDscapped with AlGaInP. The QD density was1.3x1010/cm2, which compares with 1.2x1010/cm2

density for QDs grown on bulk GaAs. Close inspectionwith transmission electron microscopy (TEM) showedthe QD dimensions to be of order 40nm diameter and4nm height. In addition, there was a low density oflarger-sized QDs, which created a shoulder on thelong-wavelength side of photoluminescence (PL) spectra.QDs on GaAs/Si emitted at somewhat longer wave-lengths relative to InP QDs on GaAs. The team attrib-utes the red-shift on GaAs/Si to “residual tensile straincaused by the introduction of SLSs and the thermalmismatch between the III–V material and silicon”.Fabricated micro-disk lasers measured 1.5µm in

diameter. Dispersed silica beads were used as a hard maskfor the inductively coupled plasma (ICP) etch process,resulting in columns down to the sacrificial layer.

Technology focus: Lasers

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

80

Direct-epi InP quantum dotmicro-disk lasers on silicon HKUST has claimed the first micro-disk lasers made using direct epitaxy ofindium phosphide quantum dots on silicon that emit in the red spectral range.

Figure 1. Schematic of InP QD laser structure grown on GaAs/Si template.

The pedestals were formed using a selective AlGaAswet etch.Smooth sidewalls of the circular disk structure (Figure 2)

were critical for achieving a ‘whispering gallery’-typemode for the laser cavity. Even well below the lasing

threshold, this mode peak was clearly visible in continuous wave spectra from a 514nm pump laserdiode at 6.25nW (Figure 3).The laser threshold pump power was around 1µW for

micro-disk lasers both on GaAs/Si and GaAs (Figure 4).

Technology focus: Lasers

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

81

Figure 2. 70°-tilted scanning electron micrograph of micro-disk lasers on GaAs/Si template (a) before and(b) after pedestal formation.

Figure 3. Representative room-temperature power-dependent PL spectra of InP QD MDLs on (a) GaAssubstrate and (b) GaAs/Si template, respectively. (c) and (d) Corresponding collected PL intensity and mode linewidth of dominant peak in (a) and (b) as a function of pump power.

Technology focus: Lasers

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

82

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

The researchers compare this with 30µW thresholdreported in 2009 by University of Notre Dame in theUSA and Ioffe Physical Technical Institute in Russia forInP QD micro-disk lasers directly bonded onto silicon.In addition, the laser output power increases linearlywith the pump power up to 12.5x the threshold value. The lowest threshold achieved was 500nW(0.5µW).

The researchers conclude: “Direct epitaxy of InP QDson a high-Al-composition (Al0.55Ga)0.52In0.48P barrierleads to higher QD density, which also contributes tothe low threshold of the micro-disk lasers.” The teamhopes to fabricate in future electrically pumped micro-disk lasers using similar techniques. www.osapublishing.org/ol/abstract.cfm?uri=ol-46-18-4514 Author: Mike Cooke

Figure 4. Statistical analysis of lasing behavior of InP QD MDLs on (a) GaAs and (b) GaAs/Si. The numbers on topof each column denote average MDL thresholds. Backgrounds: normalized PL spectra of unprocessed samples.

www.semiconductor-today.com

Choose Semiconductor Today for . . .

MAGAZINE

Accurate and timely coverage of the compound semiconductorand advanced silicon industries

Published 10 times a year and delivered by e-mail and RSS feeds

Targeted 82,000+ international circulation

WEB SITE

Average of over 26,000 unique visitors to the site each month

Google-listed news source

Daily news updates and regular feature articles

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com

Graphensic spun off •Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor•Oclaro and Opnext merge

ISSN 1752-2935 (online)

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Follow us on Twitter: Semiconductor_T

Join our LinkedIn group: Semiconductor Today

Saga University in Japan has reported worktowards white light-emitting diodes (WLEDs)based on rare-earth (RE)-doped gallium oxide

(Ga2O3) [Yafei Huang et al, Appl. Phys. Lett., v119,p062107, 2021].The researchers adopted a vertical integration strategy

with Ga2O3 layers doped with thulium (Tm), europium(Eu) and erbium (Er) grown on top of each other. The team comments that “films grown by lateral integration are deposited side-by-side, while the co-doping of multiple rare-earth elements into the samehost will unavoidably degrade the crystal quality and,thus, induce undesirable threshold voltage and lumi-nous efficiency.” The vertical strategy leads to morecompact devices without compromising crystal quality. The team adds: “On the other hand, compared with

phosphor-assisted WLEDs, direct white light emissionsare achieved from single-material-based LEDs in thiswork without using additional red, blue or green phos-phors, which can greatly reduce the energy re-absorp-tion effect between different phosphors.” Such energyre-absorption would naturally impact power efficiency.The researchers also see the phosphor-free approach

based on single-material-based WLEDs with direct primary color mixing as more conducive to displaysbased on smaller-pixel micro-LEDs. Although rare-earthdoping has been tried in gallium nitride and zinc oxidedevices, there are problems such as needing high volt-ages (~100V) or poor color balance due to spectralgaps.The Saga team sees β-Ga2O3 as “an ideal host for

rare-earth ions benefiting from its ultrawide bandgap(4.9eV), which is advantageous to improve the ther-mal stability and luminous ability of rare-earth dopantsat room temperature”. According to the researchers, atpresent, “there are no reports available related to full-color (white) LEDs based on rare-earth-doped Ga2O3.” The doped Ga2O3 layers were deposited on p-type

gallium arsenide, p-GaAs (111), using alternate-targetpulsed laser deposition (PLD) at 500°C. The Ga2O3

targets were variously doped with rare-earth oxides:1wt.% Tm2O3, 1wt.% Eu2O3 and 5wt.% Er2O3. The laser light came from a krypton fluoride (KrF)excimer laser emitting deep-ultraviolet light at 248nm wavelength. The PLD was carried out in an oxygen atmosphere at 0.1Pa pressure.

The samples con-sisted of 150-periodsof the sequence of thethree types of Ga2O3

doping (Figure 1). Thegrowth process lasted150 minutes. Materialanalysis suggestedthat the atomic concentrations for thedoped layers were0.3% Tm, 0.5% Euand 2.3% Er. The LEDs featured

an indium tin oxide

Compared withphosphor-assistedWLEDs, direct whitelight emissions areachieved from single-material-based LEDs inthis work without usingadditional red, blue orgreen phosphors, whichcan greatly reduce theenergy re-absorptioneffect between different phosphors

Technology focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

84

Gallium oxide white LEDprospects A vertically integrated rare-earth-doped layer structure emits at a relatively lowforward voltage of 8.8V.

Figure 1. Schematic structure of LED-A based onGa2O3:(Tm+Eu+Er)/GaAs heterojunction.

(ITO) transparent con-ductor n-electrode andgold (Au) p-electrode.The ITO was applied withdirect-current sputtering; the gold with electron-beam evaporation.Atomic force microscopy

showed a sample surface“filled with uniformly distributed grain-likestructures” with a root-mean-squareroughness of 10nm. When subjected to a

forward voltage of morethan 8.8V, the currentbegins to increase

rapidly. The researchers also note that the electro-luminescence (EL) is only observed under forward bias, suggesting that “simultaneously injectedelectrons and holes are indispensable for the EL”. The researchers associate the emitted light with 4f transitions from the Eu3+, Er3+ and Tm3+ ions (see Figure 2). The various peaks associated with the different ions

were: 461nm and 475nm with Tm3+; 529nm, 550nmand 656nm with Er3+; 590nm, 615nm and 712nm withEu3+. Although the emissions somewhat overlap, theTm ones are mainly in the blue range, Er green, andEu red. The combination gives white light. The researchers explain: “Due to the existence of

oxygen vacancy defects in the film, the simultaneousred, green and blue emissions are supposed to be triggered by the defect-assisted energy transfer fromthe Ga2O3 host to RE3+ (Eu3+, Er3+ and Tm3+) ions.”

Due to the existence of oxygen vacancydefects in the film, the simultaneous red, green and blueemissions aresupposed to betriggered by thedefect-assisted energy transfer fromthe gallium oxide(Ga2O3) host to rare-earth RE3+

(Eu3+, Er3+ and Tm3+)ions

Technology focus: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

85

Figure 2. (a) Current—voltage characteristics curve of LED-A. Inset: semi-log characteristics and digitalphotograph of LED-A at 70mA. (b) EL spectra under different operating currents. (c) EL intensities ofemissions at 475nm, 529nm and 615nm as function of injection current. (d) CIE chromaticity coordinatesunder different currents.

Technology focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

86

On the basis of previous reports, the researchersexpect the emission to be relatively independent of the temperature environment since the complete outer 5s and 5p orbitals shield the 4f shell from suchinfluence.The positions of the peaks were found to vary little

with changing current injection. However, the strengthof the emissions did vary, with the balance shiftingfrom red to green and blue as the current increases.

The color content of the main device reported, LED-A,,shifted from pink to white with injections from 40mA to80mA. The correlated color temperature (CCT) variedfrom 2370K to 7592K, respectively, a shift from awarm to cold tone.The team reports: “For instance, the emitted light at

55mA locates at a warm-white point with CIE coordinatesof (0.3739, 0.3410) and CCT of 3926K, which meetsthe requirements for indoor lighting applications.” The researchers also see 65mA as providing “superior”CIE coordinates, (0.3329, 0.3335), but 5479K CCT,suitable for indoor/outdoor cold-white illumination.The color balance was also varied in hardware, with

the researchers producing four other device structureswith different layer thicknesses of the various components (Table 1). These resulted in different color balance behavior (Figure 3). https://doi.org/10.1063/5.0060066Author: Mike Cooke

Figure 3. CIE chromaticity diagrams for (a) LED-a, (b) LED-b, (c) LED-c, and (d) LED-d under differentoperating currents.

Sample Ga2O3:Tm Ga2O3:Eu Ga2O3:Er

LED-A 1.9nm 3.7nm 0.2nmLED-a 2.0nm 3.2nm 0.4nmLED-b 2.6nm 1.9nm 0.4nmLED-c 1.0nm 5.1nm 0.4nmLED-d 1.3nm 2.6nm 1.4nm

Table 1. Thicknesses of doped Ga2O3 layers.

Market focus: UV LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

87

The UV lighting market is expected to rise at acompound annual growth rate (CAGR) of 17.8%from 2021 to $3.5bn in 2026, with the UV LED

market in particular growing to $2.466bn, forecastsmarket analyst firm Yole Développement in its report‘UV LEDs and UV Lamps — Market and TechnologyTrends 2021’. The UV lighting market overall was about $400m in

2008. By 2015 UV LEDs alone were worth $100m. In 2019, the total market reached $1bn as UV LEDsspread into UV curing and disinfection. The COVID-19pandemic then drove demand, increasing total revenueby 30% in just one year. Yole hence expects the UVlighting market to be $1.5bn in 2021 before rising at a17.8% CAGR, doubling or tripling to $3.5bn in 2026. “The COVID-19 pandemic has created some perfect

use-cases for UV lighting technologies to spreadthroughout a rapidly changing disinfection market,”says Pars Mukish, business unit manager, Solid-StateLighting & Display, at Yole.

Indeed, SARS-COV-2, the virus that causes COVID-19,has one of the highest reproduction/transmissibilityrates among all viruses that have emerged. To reducethe spread of the disease, light in the UVC wavelengthband (which can deactivate bacteria and virusesthrough physical methods) has gained unprecedentedattention. Overall, there will be a ‘before’ and an ‘after’the COVID-19 pandemic for the UV lighting industry,says Yole. “Indeed, the health crisis due to the SARS-CoV-2

virus has generated unprecedented demand for thedesign and manufacture of disinfection systems usingoptical UV rays,” notes Joël Thomé, CEO of photonicsinnovation services provider PISEO (a partner of Yole),which has released the report ‘UV-C LEDs in the Timeof COVID-19 — Update November 2021’. “LED manu-facturers have seized this opportunity, and we are currently seeing an explosion in UV-C LED products,”he adds. The COVID-19 pandemic has strongly impacted the

UV LED market to grow to$2.466bn in 2026, driven byimpact of COVID-19 The total UV lighting market will roughly double or triple to $3.5bn by 2026, forecasts Yole Développement.

Market focus: UV LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

88

UV industry in general, notes the report ‘UV LEDs andUV Lamps — Market and Technology Trends 2021’. On the one hand UV lamps are historic, establishedand mature technologies in the UV lighting market.Business before the COVID-19 pandemic was drivenmostly by polymer curing with UVA wavelength lightand water disinfection with UVC light. On the otherhand, UV LED technologies are still emerging. Untilrecently, business was mostly driven by UVA LEDs. It was only a few years ago that UVC LEDs reached theperformance and cost specifications of early adoptersand started generating revenue. “Both technologies will benefit, but on different time-

lines,” says Pierrick Boulay, senior technology & marketanalyst, Solid-state Lighting, at Yole. “In the very shortterm, UV lamps might dominate end-systems becausethey are already established and easy to integrate.However, this proliferation of applications is a catalystfor the UV LED industry that will further push the technology and its performance forward. In the middle-to-long term, several end-systems might fur-ther adopt UV LED technology”.

Numerous industries and players supply UV lampsand UV LEDs. Signify, Light Sources, Heraeus andXylem/Wedeco are the top four UVC lamp players,while Seoul Viosys and NKFG are currently leading theUVC LED industry. There are few overlaps between thetwo industries. Yole expects this to remain the caseeven though some UVC lamp players, such as Stanleyand Osram, are diversifying their activities into theUVC LED field. In total, PISEO has identified 15 moreUV-C LED manufacturers compared with 2020. Overall, the UVC LED industry is likely to be the most

transformed by recent trends. The industry has waitedmore than 10 years for this moment to happen. All theplayers are now ready to grab a piece of this boomingmarket, says Yole.

UV-C LED-related patents The number of UV-C LED-related patents filed in thelast two years has exploded, illustrating the dynamismof research in this area, states PISEO. In its new UV-CLED report, PISEO offers a particular focus on the key patents of four LED makers, highlighting the main

Market focus: UV LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

89

challenges of the rollout of this technology: intrinsicefficacy and cost. Yole also offers a complementaryanalysis of the patent landscape. The need for disinfec-tion and the opportunity to use small light sourcesenabled the creation of increasingly compact systems.This evolution, including new form factors, has clearlygenerated renewed interest on the part of LED makers. Wavelength is also a key parameter for germicidal

efficiency and optical risk assessment. “Although currently relatively scarce and expensive, several systemmanufacturers, such as Signify and Acuity Brands, aretaking a close interest in sources emitting a 222nm

wavelength due to the harmlessness of this opticalradiation on the human body,” notes Matthieu Verstraete,Innovation Leader and Electronics & Software Architectat PISEO. Several products have already been placedon the market, and there are more to come that integrate excimer sources made by the company Ushio.PISEO’s specialists therefore review the state of medical research, the technology of sources emittingat 222nm, the germicidal effect of this wavelength, theregulatory environment, and the roadmaps produced. www.i-micronews.com/products/uv-leds-and-uv-lamps-market-and-technology-trends-2021

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

University of California Santa Barbara(UCSB) in the USA has reported asimpler process for producing

relaxed indium gallium nitride (InGaN)pseudo-substrates with a view to more efficient long-wavelength light-emittingdevices in the green (500–565nm) and red (625–700nm) wavelength ranges[Philip Chan et al, Appl. Phys. Lett., v119,p131106, 2021]. Up to now, attempts toreduce strain in InGaN layers have beenquite complex. The UCSB method used just MOCVD. The work aimed to reduce the strain in

InGaN layers and allow higher-temperaturegrowth. Indium incorporation in GaN isreduced in strained material, an effectreferred to as ‘composition pulling’. Lower-temperature growth is normallyused to compensate for reduced indiumincorporation. For example, red InGaNlight-emitting diodes (LEDs) are typicallygrown by metal-organic chemical vapordeposition (MOCVD) at temperatures ranging from700°C to 765°C.Unfortunately, low-temperature growth also generates

higher defect densities, increases impurity incorporation,and degrades surface morphology. These effects areassociated with decreased adatom mobility duringMOCVD, along with reduce efficiency in LEDs and lasers.The researchers see their work as potentially benefiting

efforts towards displays based on micro-LEDs (µLEDs),which need red, green and blue emitters. Blue GaN-based LEDs are well established, but increasingindium incorporation for longer wavelengths has beenproblematic. However, InGaN-based LEDs are attractivefor these applications due to less impact from surfacerecombination effects that particularly hit smallerdevices, relative to the standard red-emitting material,aluminium indium gallium phosphide.The UCSB epitaxial material was grown on (0001)

sapphire through MOCVD. The relaxed InGaN pseudo-substrate was achieved by growing a 5.5µm GaN template layer, followed by a 2.5nm 750°C InGaN‘decomposition layer’ (DL) and a 100nm GaN decomposition stop-layer (DSL).

The growth temperature of the first 30nm of the DSLwas 750°C; then the temperature was ramped to1000°C during the remaining 70nm. The high temper-ature was designed to both result in a high-qualitycrystal structure for subsequent growth, and todecompose the underlying InGaN DL, forming voidsthat would allow an overlying 200nm 920°CIn0.04Ga0.96N buffer/pseudo-substrate to relax.A high-resolution x-ray diffraction (HRXRD)

reciprocal-space map (RSM) suggested that thepseudo-substrate consisted of 85%-relaxed In0.04Ga0.96N.“The sample shows deep pitting and poor surface morphology from unoptimized growth temperature andInGaN buffer growth conditions,” the team comments.The pseudo-substrate was loaded, together with a

simple GaN/sapphire template, into an MOCVD reactorto grow further InGaN layers, creating a 4-period multiple quantum well (MQW) structure of 2.5nm/2.5nm865°C InGaN well/2.5nm GaN cap, along with 8.3nm900°C InGaN barrier. The researchers comment thatthe sample on the pseudo-substrate had “a slightlyyellow appearance from the increased indium incorpo-ration”. They add: “The decomposed InGaN layer

Technology focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

90

Relaxed InGaN forgreen/red light emissionA simple MOCVD structure has been used to create a 85% relaxed indium gallium nitride pseudo-substrate.

Figure 1. Room-temperature PL emission from MQW regrown onGaN template and relaxed InGaN buffer by thermal decompositionof an InGaN underlayer. Solid lines depict emissions from half-radius of substrate, dotted depicts center, and dashed depicts edge.

appears dark in the center, possibly from themetallic indium that remains after thermaldecomposition during the high-temperatureGaN DSL growth.” Photoluminescence (PL) from 325nm

helium–cadmium laser excitation showed peaksat 440nm and 515nm for the MQWs grown onthe GaN template and InGaN pseudo-substrate,respectively. Further, the intensity of the peakfrom the MQW structure on the pseudo-substratewas up to three times stronger than that onGaN. There was variation of intensity andwavelength according to where the MQW wasexcited for photoluminescence (Figure 1). The researchers comment: “The wavelength

shift could be attributed to the lessening of thecompositional pulling effect in the sample withthe relaxed buffer.” In other words, thereduced strain allowed more indium to beincorporated into the MQWs, reducing thebandgap energy, increasing the wavelength ofthe emitted photons. The increased light intensity wasattributed to better light extraction due to the roughsurface reducing total internal reflection back into theepitaxial material.Material for a red LED was grown in a similar way

except the DL, DSL and buffer layers were doped n-typewith silicon. Also, the MQW was grown at 825°C with6nm GaN barriers rather than the thicker GaN/InGaNcombination. The 920°C p-contact layers consisted of80nm p-In0.04Ga0.96N and 12nm more heavily dopedIn0.04Ga0.96N. The MOCVD was carried out withoutinterruption between the pseudo-substrate and MQWlayers. The p-type layers were activated with 650°Cannealing. The metal contacts consisted of indium dotsused for electrical probing.The electroluminescence from this device peaked at

622nm wavelength when the current injection was20A/cm2 (Figure 2). The full-width at half-maximum(FWHM) was 77nm. The researchers describe the performance of the LED as “quite poor”, due to surface

morphology of the buffer layer being rough and pitted.Also, the LED structure was basic, without optimizationssuch as electron-blocking layers or defect-reductionstrategies (e.g. underlying superlattices).The researchers managed to reduce the surface

roughness of a 90%-relaxed In0.025Ga0.975N-bufferpseudo-substrate recipe to 1.3nm root-mean square.This was grown with the low-temperature portion ofthe GaN DSL reduced to 4nm. The high-temperatureportion was thickened to 150nm, grown at 1150°C inhydrogen. Also, the buffer was more complex: 50 periods of 16nm 950°C InGaN with 2nm/2nm GaNinterlayers grown at 950/1000°C. The lower-temperaturegrowth used nitrogen ambient. The high-temperaturestep included 5% hydrogen carrier, which wasdesigned to fill any v-pits that were formed. The teamhopes to extend this better morphology to higherindium contents. https://doi.org/10.1063/5.0064755Author: Mike Cooke

Technology focus: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

91

Figure 2. Room-temperature electroluminescence spectrum ofred LED at 20A/cm2 current density.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

University of California Santa Barbara (UCSB) in theUSA has reported record high transconductance(gm) and subthreshold swing (SS) for planar

indium phosphide (InP)-channel metal–oxide–semi-conductor (MOS) field-effect transistors (MOSFETs)[Hsin-Ying Tseng et al, Appl. Phys. Lett., v119,p123502, 2021].The UCSB team used ruthenium (Ru) as the gate

metal, applied using atomic layer deposition (ALD). A key aspect was the use of titanium nitride (TiN) as a nucleation/stiction layer on the high-k dielectric partof the high-k metal gate (HKMG) stack. Without theTiN layer, Ru tends to grow in a rough and non-uniformmanner. In MOS capacitors, the use of TiN to nucleateRu was found to reduce frequency dispersion in capacitance–voltage measurements, “suggesting ametal–semiconductor interface with low defect density”.The researchers suggest that the development of

high-performance InP high-electron-mobility transistorscould be used in “mm-wave receivers, and in the gate structure of tunnel FETs for ultralow-voltage VLSI logic”.

The researchers deployed the gate stack on planar(Figure 1) and vertical (Figure 2) MOSFETs on semi-insulating iron-doped InP substrates. The epitaxial layerswere applied using metal-organic chemical vapor dep-osition (MOCVD).The epitaxy for the planar MOSFET began with

9nm zinc-doped p-InP, which compensated for donorimpurities of the substrate surface. This was followedby 9nm unintentionally-doped (UID) InP.The MOCVD was then interrupted to enable the

formation of a dummy gate structure, consisting ofhydrogen silsesquioxane (HSQ) resist, around whichself-aligned source-drain layers would be regrown byMOCVD. After the MOCVD regrowth, the device structures were

electrically isolated using wet etching. The dummy gatewas then removed and the surfaces treated to removeoxides. The gate stack was applied using 300°C ALD.The high-k dielectric part of the HKMG consisted

of ~1nm aluminium oxynitride (AlOxNy), ~2.5nm zirconium dioxide (ZrO2). This was followed by ~2nmtitanium nitride (TiN) and the ~30nm ruthenium (Ru)gate electrode.

Technology focus: Indium phosphide

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

92

Researchers claim record transconductance and subthreshold swing for aplanar device with a high-k metal gate incorporating Ru.

Figure 1. (a) Planar MOSFET schematic cross-section and (b) scanning transmission electron microscope(STEM) image of MOSFET with 30nm TiN/Ru gate. Inset: high-angle annular dark-field STEM image of InPchannel. Layers 1, 2, and 3 represent ~1nm AlOxNy, ~2.5nm ZrO2, and ~2nm TiN, respectively.

Ruthenium gate for indium phosphide MOSFETs

The chemicals used to form the AlOxNy weretrimethyl-Al alternated with nitrogen plasma. For theZrO2, water (H2O) and tetrakis(ethylmethylamido)zirconium (TEMAZ) were used. The TiN came fromcycles of tetrakis(dimethylamido)titanium (TDMAT)and nitrogen/hydrogen plasma. The Ru resulted fromalternate (ethylbenzene)(1,3-cyclohexadiene)ruthenium(EBCHDRu from Hansol Chemical) and oxygen.The structure was annealed in hydrogen for

30 minutes to “recover plasma damage at the high-k/InP interface”. The devices were completed with titanium/palladium/gold source/drain electrodeson the heavily n-type indium gallium arsenide (n+-InGaAs) contact layer, and ALD aluminium oxidepassivation.

The vertical MOSFET used a semi-insulating indium phosphide substrate with MOCVD layers of90nm n+-InGaAs source, a 50nm p-InP channel, and a 5nm/10nm n+-InP/n+-InGaAs drain/contact.Device fabrication began with electron-beam deposition/

DC sputtering of the 20nm/500nm molybdenum/titanium–tungsten (Mo/TiW) drain metals, which wasthen patterned and plasma etched, creating a finstructure. The sidewalls of the fin were coated with20nm plasma-enhanced chemical vapor deposition(PECVD) silicon nitride (SiNx).Wet etching cut into the InGaAs drain layer, which

was also coated with 20nm Si3N4. Further wet etchinginto the InP layers (drain and channel) formed the vertical channel. Digital etching based on hydrochloric

Technology focus: Indium phosphide

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

93

Figure 2. (a) Cross-sectional and (b) top-view vertical MOSFET structure, and (c) cross-sectional STEM imagecutting along A and A’, as indicated in (b). P-InP channel length is 50nm, Inset in (c) is large-field image.

Technology focus: Indium phosphide

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

94

acid removed native oxides before high-k deposition inan ALD process, as before.The TiN layer was also made identically, but the Ru

metal gate electrode had to be deposited at 250°C,rather than 300°C.Deposition at thehigher temperaturetended to suffer fromprecursor decompo-sition in the moretricky geometry. Thelower temperatureran the risk of oxideformation, stuntinggrowth. To stop this,the researchersadded hydrogen as aco-reactant in theALD recipe.The complete

HKMG was annealedas for the planardevice. The source contact wasTi/Pd/Au. Ti/Aumetal posts wereapplied to the sourceand gate contacts.Passivation consistedof 30nm PECVD SiNx.

The structure was planarized with spin-on dielectric (BCB)benzocyclobutene), which was baked and ashed back.The device was finished with wet etching to remove

the SiNx and excess Ru around the drain electrode,creating a 60nm PECVD SiNx sidewall spacer, andforming the backend wiring/contact pads with Ti/Au.The Ru/TiN planar MOSFETs were found to have an

optimum gate length (Lg) of 80nm in terms of peak gm.Below 80nm, the device suffered from short-channeleffects, due to the relatively thick ~18nm channel layers.At 80nm, the peak gm was ~0.75mS/µm, for 0.6Vdrain bias, claimed as the highest yet reported for InP-channel MOSFETs (Figure 3). When Lg exceeds 800nm, the Ru/TiN MOSFET also

demonstrated “record” low subthreshold swing valuesof 68mV/decade at 0.1V drain bias. The previousrecord was 70mV/decade for an InP MOSFET withnickel/gold (Ni/Au) gate metal.The vertical MOSFET suffered from short-channel

effects due to the low aspect ratio of Lg and fin width(50nm:90nm). Also, the channel doping was not sufficient to cut off leakage currents in the off-state.“To overcome this issue, a higher P-channel doping ora higher aspect ratio between Lg and body thickness(tbody) is needed,” the team comments. The peak gm

with 0.6V drain reached a respectable 0.42mS/µm.This is close to the value achieved in the 50nm Lg

planar device. https://doi.org/10.1063/5.0058825Author: Mike Cooke

Figure 3. Comparisons of peak gm versus Lg (a) and minimum SS versus Lg (b) for InP planar MOSFETs withthermally evaporated Ni/Au gates, ALD TiN/Ru gates, and ALD Ru gates.

A key aspect was the useof titanium nitride (TiN)as a nucleation/stictionlayer on the high-kdielectric part of the high-k metal gate(HKMG) stack. Withoutthe TiN layer, ruthenium(Ru) tends to grow in arough and non-uniformmanner. In MOScapacitors, the use of TiN to nucleate Ru wasfound to reducefrequency dispersion incapacitance–voltagemeasurements,“suggesting ametal–semiconductorinterface with low defect density”

www.semiconductor-today.com

Choose Semiconductor Today for . . .

MAGAZINE

Accurate and timely coverage of the compound semiconductorand advanced silicon industries

Published 10 times a year and delivered by e-mail and RSS feeds

Targeted 82,000+ international circulation

WEB SITE

Average of over 26,000 unique visitors to the site each month

Google-listed news source

Daily news updates and regular feature articles

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com

Graphensic spun off •Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor•Oclaro and Opnext merge

ISSN 1752-2935 (online)

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Follow us on Twitter: Semiconductor_T

Join our LinkedIn group: Semiconductor Today

Market focus: Deposition equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

96

The atomic layer deposition (ALD) equipmentmarket for More than Moore (MtM) device manu-facturing totaled $345m in 2020 — dominated

by CMOS image sensors (CIS), with 47% share — andis estimated to be rising at a compound annual growthrate (CAGR) of 12% to $680m in 2026, according tothe report ‘Atomic Layer Deposition Equipment forMore than Moore’ from Yole Développement. The ALD equipment market for non-CIS More than

Moore applications is really taking off now for commer-cial device manufacturing, says Yole, which gives twomain reasons for this high growth. Firstly, manufacturing sites are gearing up for the

production of More than Moore devices that are gainingimportance across all the megatrends: for example,compound semiconductor-based power devices, inparticular gallium nitride (GaN) and silicon carbide(SiC), as well as photonic devices, including mini-LEDs

and micro-LEDs. Their manufacturing is rocketing forautomotive and consumer applications. Specifically, CAGRs over 2020-2026 are expected to

be 30% for the production of photonics devices, and12% and 15% respectively for power and radio frequency(RF) devices. CAGRs will be 4% for micro-electro-mechanical systems (MEMS) and sensors and just 5%for CIS. Growth is further strengthened by the highwafer production volume of CIS devices, silicon powerelectronics, and advanced packaging – mainly wafer-level encapsulation – used across all More than Mooreapplications. Secondly, the global semiconductor market is

favorable. Chip shortages across all markets and More than Moore devices have pushed manufacturersto announce fab capacity expansion worldwide. “Theworldwide fab capacity expansion is accelerating theindustrial adoption of ALD equipment,” notes Taguhi

ALD equipment marketgrowing at 12% CAGR to$680m in 2026 Atomic layer deposition is penetrating all More than Moore applications, says Yole Développement.

Market focus: Deposition equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

97

YeghoyanPh.D., tech-nology &market analyst,Semiconduc-tor Manufac-turing. “This is an

excellentopportunityto deploynew mater-ials andprocessesthat improvedevice per-formance,”addsYeghoyan.“The ALDecosystemand supplychain actors, traditionally tightly interrelated, now collaborate even more to accelerate ALD adoption.These include ALD process developers such as aca-demic and R&D institutes, precursor suppliers (off-shelf and customized), equipment subpart providers,as well as inspection and metrology system providers.” Moreover, fab expansions concern not only the lead-

ing manufacturing players but also smaller productionsites, giving emerging ALD equipment vendors agrowth opportunity, says Yole. The 2020 ALD equipment market was led by 300mm

platforms. Yole identifies the following leading ALDplayers: ASM Interna-tional with 30% mar-ket share, and TokyoElectron Ltd (TEL) with18% market share, aswell as NAURA. Allthese players offer300mm platforms withhigh average sellingprice (ASP) as well ashigh throughput. These players are

followed by Picosun,specialized in 200mmplatforms, which has10% market share.However, the companyis closely followed byOptorun, Beneq,Plasma-Therm, Oxford Instruments,

and Veeco, among others. Moreover, in response to the chip and equipment

shortages, equipment vendors previously active only inALD research are now developing their machines forvolume production. All in all, the ongoing global semiconductor market upside gives an optimisticprospect for More than Moore ALD equipment vendors’revenues, believes Yole. However, the ALD market iscompetitive, and market shares can change significantlyin the coming years. www.i-micronews.com/products/atomic-layer-deposition-equipment-for-more-than-moore-2021

Technology focus: Advanced logic

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

98

Naoto Horiguchi, director CMOS device technology,and Zsolt Tokei, imec fellow & program directornano-interconnects at imec, offer a broad

spectrum of options to scale logic technology generationsbeyond 1nm. Along the scaling path, they show how logic device

development needs to go hand in hand with introducinginnovations in the back-end-of-line and, to an increasingextent, in the middle-of-line. How do you see the scaling of logic devicesevolving in the coming years?Naoto Horiguchi: “A majority of IDMs and foundrieshave recently announced the transition from main-stream FinFET to gate-all-around (GAA) nanosheet FETarchitectures for their 3nm or 2nm logic technologygenerations. The forksheet architecture, which is animec invention, could prolong this nanosheet generation.After the forksheet, we are anticipating complementaryFETs (CFETs) to enter the logic scaling roadmap.”“These transitions will allow us to gradually push track

height scaling of standard cells below 4T, while stillproviding a power-performance advantage.” “Beyond CFETs, 2D monolayer crystalline materials like

tungsten disulfide (WS2) are promising replacementsfor silicon in CMOS channels, offering opportunities forfurther gate-length scaling.” How will these innovations impact the back-end-of-line? Which developments will be needed inthe back-end- and middle-of-line to keep pacewith scaling in the front-end-of-line?Zsolt Tokei: “Advanced scaling in the front-end-of-line(FEOL) needs to go hand in hand with innovations in theback-end-of-line (BEOL) — the network of interconnectsthat needs to connect seamlessly to the underlying

device structure. With the forksheet architectureentering the roadmap and cell heights being pushedbelow 5T, metal pitches in the critical BEOL layers willbecome as small as 20nm and below. This is extremelychallenging from capacitance, resistance (via and wire)as well as from cost, printability and reliability point ofview – requiring innovations at design, module andmaterial level. This has driven the development of newinterconnect integration schemes such as hybrid viametallization (addressing via resistance increase) andsemi-damascene process flows – as a replacement fortoday’s mainstream Cu dual-damascene processes.Semi-damascene involves the direct etch of metal toachieve higher-aspect-ratio lines, and can include gapfill,partial or full air gaps to counter the capacitanceincrease.”Naoto Horiguchi: “To strengthen the synergybetween advanced logic device scaling and intercon-nect development, the middle-of-line (MOL) activitiesbegin to play an increasingly important role. The MOL,which ties together the BEOL and the FEOL, has for along time been organized as a single-layer contact tosource, drain and gate.” “But the transition to below-5T cell architectures drives

the evolution towards multi-layer MOL structures, inwhich extra layers and vias are added – similar to howthe BEOL has evolved in the past.”Which breakthrough did your team recentlyachieve?Naoto Horiguchi: “While the area and performancebenefit of the forksheet device architecture wasalready shown through TCAD simulations, we have for the first time presented electrical characterizationof functional integrated forksheet FET devices —

imec presents a roadmap marked by the increased synergy between advanced logic device and nano-interconnect research.

Logic technology scalingoptions for 2nm and beyond

Evolution from FinFET to nanosheet and to forksheet.

Technology focus: Advanced logic

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

99

a breakthrough result that was highlighted at the 2021 VLSI Symposium. We have demonstrated the key modules of this architecture, including thedielectric wall and replacement metal gate patterning at17nm n–p spacing. And, although the forksheet deviceis a tri-gated device architecture, no degradation of theelectrostatics has been observed in our work.” Which avenues is imec exploring to complementthis achievement from a nano-interconnect perspective?Zsolt Tokei: “Extended nanosheet architectures such asthe forksheet require new BEOL integration schemessuch as semi-damascene to enable sub-20nm metalpitches. At the same time, we are developing newmulti-layer MOL routing schemes, such as the vertical–horizontal–vertical (VHV) scheme. In combi-nation with scaling boosters (such as self-aligned contacts and buried power rail), these multi-layer MOLschemes will pave the way to a more efficient intra-cellrouting at smaller cell heights.” Naoto Horiguchi: “Implementing the multi-layerprocess flow in the MOL, in combination with scalingboosters such as the buried power rail (BPR) willlargely enhance the scalability of our forksheet-basedcell designs, pushing track heights from 5T to 4T. As such, introducing semi-damascene in the MOL isanother example of the benefits brought about by thecross-fertilization between FEOL, MOL and BEOL activities.”What are the next important milestones that you expect to achieve?Naoto Horiguchi: “Our program focus will move fromforksheet to CFET gradually after forksheet key modules and device demonstration. The CFET architec-ture is complex due to its many degrees of freedom.

Imec will quantify the power-performance-area (PPA)benefits and the complexity of CFET process flows andrecommend best option(s) to our partners. Whilenanosheet, forksheet and CFET architectures will gradually reduce cell track heights to 4T and beyond,we are exploring alternative device architectures thatmight address the slowdown in scaling the contactpoly-pitch (CPP), which measures from one transistor’sgate contact to the gate contact on the adjacent device.” Zsolt Tokei: “Evolution towards the 1nm CFET familyof logic devices drives the development of new BEOL

From FinFET to nanosheet to forksheet and to CFET.

Transmission electron micrograph (TEM) image offorksheet and nanosheet structures.

Technology focus: Advanced logic

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

100

and MOL solutions. In the BEOL, we earlier proposed anew metallization construct referred to as ‘hybridheight with zero via’. In this construct, each metallayer is now split into three separate sub-layers, which allows to tune the height and aspect ratio of the metal lines (and hence, exchange resistance forcapacitance) depending on their application need.” “We are excited to report on a first SRAM assessment,

confirming a significant improvement in read speed (by 30%) and write margin (by 50%). Currently, weare working towards real logic cell layouts.”

“On the longer term, we will need to complement theseintegration schemes with the introduction of new conductors.Of interest are ordered binary or ternary compounds withbetter figure of merit than, for example, ruthenium (Ru)or molybdenum (Mo). We have already been pioneeringthis field with first ab-initio simulations and initialexperiments, and we are now intensifying our activitiesin close collaboration with imec’s materials R&D group.” What is the key message you want the chipindustry to remember?Naoto Horiguchi: “In recent years, several peoplehave claimed that traditional CMOS scaling has alreadycome to an end. But with many innovations in thepipeline, we are convinced that we can continue CMOSscaling for at least the next ten years. Imec is a goodplace to work towards that goal. The close collaborationwith our partners helps us to address the industry’sbiggest challenges and push logic device scalingbeyond the 1nm technology generation — leveragingthe tight collaboration with imec’s nano-interconnect,INSITE and material development activities.”Zsolt Tokei: “Also from a BEOL perspective, we havemany interesting and valid options allowing us to addressthe RC delay bottleneck and to pipeline interconnects forthe coming ten years. We can offer our partners a com-prehensive roadmap with a broad spectrum of optionsthat are relevant for future logic device scaling, and ofwhich elements can be re-used for memory development.We are continuously enriching this roadmap with newinsights, improved integration schemes and new materials.”Some of these were presented at July’s 2021 IEEEInternational Interconnect Technology Conference (IITC).

www.imec-int.com/en/events/2021-symposia-vlsi-technology-and-circuits

Comparison of horizontal nanosheet (NHS) gate-all-around (GAA) structure versus forksheet (FS) structure.The latter maximizes the active width in low-track-height standard cells by minimizing the n–p space.

Authors: Zsolt Tokei (imec fellow and program director nano-interconnects at imec) and Naoto Horiguchi(director CMOS device technology).

www.semiconductor-today.com

Choose Semiconductor Today for . . .

MAGAZINE

Accurate and timely coverage of the compound semiconductorand advanced silicon industries

Published 10 times a year and delivered by e-mail and RSS feeds

Targeted 82,000+ international circulation

WEB SITE

Average of over 26,000 unique visitors to the site each month

Google-listed news source

Daily news updates and regular feature articles

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com

Graphensic spun off •Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor•Oclaro and Opnext merge

ISSN 1752-2935 (online)

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Follow us on Twitter: Semiconductor_T

Join our LinkedIn group: Semiconductor Today

Technology focus: Process optimization

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November 2021 www.semiconductor-today.com

102

Today, increasing throughput is the number-onepriority for semiconductor fabs, as they work toovercome the challenges of the global chip

shortage. Looking beyond throughput, there are significant

opportunities for long-term cost savings from optimiz-ing, simplifying or removing processing steps. We callthis approach EPCO – Equipment and Process Co-Opti-mization. It is a combination of good engineering andapplying data-driven machine learning (ML) to themanufacturing process and equipment. A 2021 paper by McKinsey argued that semiconduc-

tor manufacturing optimization, using artificial intelli-gence (AI) and machine learning (ML), could save$38bn, through improved yields and increasedthroughput. Real-time, accurate and actionable data is vital to

achieving this potential. McKinsey highlighted that thesingle most important point to address is the real-time,run-to-run adjustment of tool parameters, using livein-situ tool sensor data. This enables AI/ML algorithmsto optimize the nonlinear relationship between processoperations.

The problem: increasing process complexity Today's high-volume, advanced logic processes —including Fin-FET and gate-all-around (GAA) transistors,as well as high-aspect-ratio etch techniques used in3D-NAND memories — require a new approach to theestablished standards based on Intel’s CopySmartly!methodology.As process nodes have shrunk, new variables have

emerged that affect process yield, and can cause devi-ations even on the exact same equipment. In Figure 1,shared in a study of machine learning for high-volumemanufacturing metrology challenges, chamber-basedeffects on process critical dimensions (CD) can beclearly seen.Some of these critical variables that can affect

process performance include localized virtual vacuumleaks, subtle reaction gas partial pressure variations,wafer surface saturation due to changes in pumpingperformance, surface reactivity due to changing wafertemperature, chamber clean end-point, and chamberseasoning profile. Additional challenges — inter-layer adhesion, 300mm

wafer mechanical stresses, new atomic-level deposition

Atonarp’s CEO Prakash Murthy explains how artificial intelligence and machine learning can be used for semiconductor manufacturing equipment and process co-optimization.

How AI and ML can save$38bn for semiconductormanufacturers

Figure 1. Wafers of four different products from eight process chambers were evaluated above. Each chamberis represented by a different colored dot. Based on the colored dot clustering, some chambers demonstratesignificant variation in CD values between wafers, clearly showing a chamber-related effect on the unit process.

Product A, Product B, Product C, Product D, 49 wafers 199 wafers 100 wafers 327 wafers

Technology focus: Process optimization

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November 2021

103

and etch chemistries, exoticlow-resistance contact andfill metals, stringent cross-contamination protocols,and maximizing throughput— all require greater insightinto how the process andequipment are interacting.Optimizing advancedprocesses such as thesenow demand higher-accuracy metrology toolsand add a new layer of in-situ molecular complexity.

The solutionWe can improve semicon-ductor metrology in twoways: either by capturingbetter data with more sensitive metrology tools orby extracting more valuefrom existing data with new ML algorithms. Of course, if we can do both, we may well see the biggestimprovements.Either way, for successful AI/ML deployment, it’s vital

to have truly actionable real-time data. This enablesappropriate models to be created and tested with datacorrelation between real-world and ML model inputsand outputs. For example, statistical process controls can look at

the real effects of chamber-to-chamber, machine-to-machine and run-to-run performance variances, evenon the exact same equipment with the same recipe.Chamber cleaning and seasoning have material effectson chamber performance and drift in process results(process margins) between cleans, and PM (perform-ance management) cycles are common. The differenceis that, at mature nodes like 40nm, the differencesrun-to-run are small compared with the process controllimits. However, as process geometries shrink, so doprocess control margins and the chamber and equipmenteffects (sigma variation) become increasingly critical(see Figure 2). Process control has become a lot more complicated as

critical dimensions have shrunk, along with the marginfor error. This means that individual chamber manage-ment is becoming fundamental to ensuring high line-yield, with tight statistical process control. This is what EPCO is all about: leveraging ML to

jointly optimize equipment, chambers and processes inunison.

In-situ, real-time data There are three main types of data in the semiconductorprocess control environment:

1. in-situ data taken real-time on the process tool; 2. in-line data to measure results (usually immediately)after a processing step; 3. parametric or post-fab data (used for wafer line-yieldand wafer ship acceptance criteria). One of the fundamental changes needed to optimize

fab management is the switch from in-line to in-situmetrology. Measurements taken after processing iscompleted are sequential in nature, costing throughputand cycle time, and lack the immediacy to affectmeaningful real-time process change and optimization. Measuring in-situ, real-time data at the molecular level

gives true insight to how the process is set up and pro-ceeding, offering rich, actionable and impactful data.Reactants, by-products and partial products can beidentified and quantified, allowing for dynamic processcontrol to ensure tight mean and standard deviationcontrol for a given process module across run-to-run,chamber-to-chamber, tool-to-tool and even site-to-site. Managing overall complex semiconductor process

control and line-yield starts with having tight controlon individual process steps and ensuring low variabilityand precise statistical process control (SPC). In-situdata from processing chambers can be used withmachine learning to improve linearity and accuracy,and to achieve the control required.

Molecular sensor Atonarp has spent a lot of time understanding the faband equipment manufacturers’ problems and challenges.The result of those efforts is the Aston, a robust molecular sensor. Aston provides the accurate, actionable, real-time

data that’s critical for effective EPCO. This data enables

Figure 2. As process geometries shrink, the effects of equipment variation onprocess margins drive the need for equipment process co-optimization within theEPCO Zone.

Equipment effects

Process Node

Process Margin

Equipmentσ-margin

encroacheson process

margin

Technology focus: Process optimization

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November 2021 www.semiconductor-today.com

104

suitable ML models to be built and tested. In fact,Aston was designed from the ground up to meet theneeds of in-situ molecular analysis to enable EPCO. Importantly, Aston is more robust than existing in-situ

metrology solutions, meaning it can provide dependable,consistent data in the harsh environment of a fab —including corrosive gases and particles. For example,Aston’s self-contained ionization source enables ascheduled maintenance period that is up to 100 timeslonger than regular residual gas analysis (RGA) solutions.

EPCO examples: plasma impedance andprocess end-point detection Let’s look at a couple of examples where real-time in-situdata is essential for an EPCO approach to achieve thebest results in semiconductor manufacturing. Every time you clean a process chamber you change its

characteristics. Unnecessary time (and lost throughput)is spent in fabs over-cleaning and then re-seasoningprocess chambers. Optimized end-point-based cleaningand chemically specific chamber fingerprinting andcharacterization can reduce the time to get a chamberback to production and minimize process variationsand process margin risk.

Secondly, end-point detection (EPD) is used in manysemiconductor processes and is difficult to get right. A common use of EPD is in etch, where it is vital toavoid the etching process from stopping too soon(under-etch) or continuing too long (over-etch), asboth scenarios can decrease yield. The etch processcan be optimized by monitoring the quantity of etchby-products and looking for a clear flattening of theetch rate curve. This will provide optimum throughputand a consistent etch profile, reducing risk in subse-quent processing steps and providing more consistentelectrical performance. Additionally, Aston works with ‘lights out’ or

non-plasma processes such as atomic layer deposition(ALD) to give insight where legacy optical emissionspectroscopy (OES) does not work.

ConclusionFor today’s semiconductor manufacturing fabs, opti-mizing processes can achieve significant improvementsin yield and other metrics, while helping to reducecosts. Equipment and Process Co-Optimization (EPCO)provides a way to do this effectively — but requireshighly accurate real-time data, obtained in-situ. Existing metrology solutions are unable to provide

this data in a practical manner, and lack the necessarydurability to deliver under harsh chamber conditions.We believe that advanced metrology solutions, such asour Aston platform, will help further digital transforma-tion within fabs and unlock the many benefits of EPCOfor semiconductor manufacturers.

www.atonarp.com/products/aston www.mckinsey.com/industries/semiconductors/our-insights/scaling-ai-in-the-sector-that-enables-it-lessons-for-semiconductor-device-makers

Authors: Prakash Murthy is the co-founder & CEO of Atonarp of TokyoJapan, which provides molecular sensing and diagnosticssolutions for life sciences, pharmaceutical and semiconductormarkets. Murthy has two decades of experience in engineeringmanagement and entrepreneurial ventures. He also co-founded Inspiration Technologies and C2Silicon Software andserved as the CEO of Core Solutions Inc.

Figure 3. Atonarp ASTON metrology instrument.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

www.semiconductor-today.com

Choose Semiconductor Today for . . .

MAGAZINE

Accurate and timely coverage of the compound semiconductorand advanced silicon industries

Published 10 times a year and delivered by e-mail and RSS feeds

Targeted 82,000+ international circulation

WEB SITE

Average of over 26,000 unique visitors to the site each month

Google-listed news source

Daily news updates and regular feature articles

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com

Graphensic spun off •Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor•Oclaro and Opnext merge

ISSN 1752-2935 (online)

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Follow us on Twitter: Semiconductor_T

Join our LinkedIn group: Semiconductor Today

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

106

suppliers’ directory

1 Bulk crystal source materials p106

2 Bulk crystal growth equipment p106

3 Substrates p106

4 Epiwafer foundry p107

5 Deposition materials p107

6 Deposition equipment p108

7 Wafer processing materials p108

8 Wafer processing equipment p108

9 Materials and metals p109

10 Gas & liquid handling equipment p109

11 Process monitoring and control p109

12 Inspection equipment p110

13 Characterization equipment p110

14 Chip test equipment p110

15 Assembly/packaging materials p110

16 Assembly/packaging equipment p110

17 Assembly/packaging foundry p111

18 Chip foundry p111

19 Facility equipment p111

20 Facility consumables p111

21 Computer hardware & software p111

22 Used equipment p111

23 Services p111

24 Resources p111

1 Bulk crystal sourcematerials

Vital Materials Co Ltd (head office)Floor 49, InternationalMetropolitan Plaza, 68 Huacheng Avenue,Tianhe District,Guangzhou, Guangdong,China 510623Tel: +86 020-83511906 Fax: +86 020-83511907 E-mail: [email protected] Materials is the world’s leadingproducer of rare metals as well asthe first Chinese manufacturer todeliver G11 rotary ITO target. Vital isalso one of the world’s three majorsupplier of infrared materials, a keysupplier of compound semiconductorsubstrates, and a strategic partnerof the world’s largest thin film solarmanufacturer.

United Mineral & Chemical Corp 1100 Valley Brook Avenue, Lyndhurst, NJ 07071, USA Tel: +1 201 507 3300 Fax: +1 201 507 1506 www.umccorp.com

2 Bulk crystal growthequipment

Cyberstar 109 Rue Hilaire de Chardonnet —Technisud, 38100 Grenoble, France Tel: +33 (0)4 76 49 65 60E-mail: [email protected]

3 Substrates

AXT Inc 4281 Technology Drive, Fremont, CA 94538, USA Tel: +1 510 438 4700 Fax: +1 510 683 5901 www.axt.com

Crystal IS Inc70 Cohoes Avenue, Green Island, NY 12183, USATel: +1 518 271 7375Fax: +1 518 271 7394www.crystal-is.com

CS Microelectronics Co Ltd (Vital Materials subsidiary)Gaofeng Park, Wanzhou Economic-Technological Development Area, Chongqing, China 404040 Tel: +86 023-58879888E-mail: [email protected] Materials is the world’s leadingproducer of rare metals as well asthe first Chinese manufacturer todeliver G11 rotary ITO target. Vital is also one of the world’s three major supplier of infraredmaterials, a key supplier ofcompound semiconductorsubstrates, and a strategic partnerof the world’s largest thin film solarmanufacturer.

Freiberger Compound Materials Am Junger Loewe Schacht 5, Freiberg, 09599, Germany Tel: +49 3731 280 0 Fax: +49 3731 280 106 www.fcm-germany.com

Index

To have your company listed in this directory, e-mail details (including categories) to [email protected]: advertisers receive a free listing. For all other companies, a charge is applicable.

Kyma Technologies Inc 8829 Midway West Road, Raleigh, NC, USA Tel: +1 919 789 8880 Fax: +1 919 789 8881 www.kymatech.com

MARUWA CO LTD 3-83, Minamihonjigahara-cho,Owariasahi, Aichi 488-0044, JapanTel: +81 572 52 2317www.maruwa-g.com/e/products/ceramic

sp3 Diamond Technologies2220 Martin Avenue, Santa Clara, CA 95050, USA Tel: +1 877 773 9940Fax: +1 408 492 0633www.sp3inc.com

Sumitomo ElectricSemiconductor Materials Inc 7230 NW Evergreen Parkway, Hillsboro, OR 97124, USA Tel: +1 503 693 3100 x207 Fax: +1 503 693 8275 www.sesmi.com

The Fox Group Inc200 Voyageur Drive, Montreal,Quebec H9R 6A8, CanadaTel: +1 925 980 5645Fax: +1 514 630 0227www.thefoxgroupinc.com

III/V-ReclaimWald 10, 84568 Pleiskirchen, Germany Tel: +49 8728 911 093Fax: +49 8728 911 156www.35reclaim.de

TECDIA Inc2700 Augustine Drive, Suite 110,Santa Clara, CA 95054 , USATel: +1 408 748 0100Fax: +1 408 748 0111Contact Person: Cathy W. HungE-mail: [email protected]

Wafer Technology Ltd34 Maryland Road, Tongwell, Milton Keynes, Bucks, MK15 8HJ, UK Tel: +44 (0)1908 210444Fax: +44 (0)1908 210443www.wafertech.co.uk

Wafer Technology Ltd is a UK based producer of III-V materials and epitaxy-ready substrates offering the widest product range in the business.

Wafer World Inc1100 Technology Place, Suite 104,West Palm Beach, FL 33407, USATel: +1-561-842-4441Fax: +1-561-842-2677www.waferworld.com

4 Epiwafer foundry

Albemarle Cambridge Chemical LtdUnit 5 Chesterton Mills, French’s Road, Cambridge CB4 3NP, UKTel: +44 (0)1223 352244Fax: +44 (0)1223 352444www.camchem.co.uk

Intelligent Epitaxy Technology Inc 1250 E Collins Blvd, Richardson, TX 75081-2401, USATel: +1 972 234 0068Fax: +1 972 234 0069www.intelliepi.com

IQE Cypress Drive, St Mellons, Cardiff CF3 0EG, UK Tel: +44 29 2083 9400 Fax: +44 29 2083 9401 www.iqep.com IQE is a leading global supplier ofadvanced epiwafers, with productscovering a diverse range ofapplications within the wireless,optoelectronic, photovoltaic andelectronic markets.

OMMIC 2, Chemin du Moulin B.P. 11, Limeil-Brevannes, 94453, France Tel: +33 1 45 10 67 31 Fax: +33 1 45 10 69 53 www.ommic.fr

SoitecParc Technologique des Fontaines,Chemin des Franques, 38190Bernin, France Tel: +33 (0)4 76 92 75 000 www.soitec.com

The Fox Group Inc200 Voyageur Drive, Montreal,Quebec H9R 6A8, CanadaTel: +1 925 980 5645Fax: +1 514 630 0227www.thefoxgroupinc.com

VIGO SYSTEM S.A. ul. Poznanska 129 /133, 05-850Ozarów Mazowiecki, PolandTel: +48 22 733 54 10E-mail: [email protected] ent-epitaxy.com

VIGO System’s Epitaxy Divisionproduces high-grade III–Vcompound semiconductor epitaxialstructures for photonic andmicroelectronic devices. With morethan 35 years’ experience, thedivision offers a broad range of epi-wafers, both in large volumes andsmall customised batches. Itfocuses on innovative products forwireless, TC, sensing or printingapplications.

5 Depositionmaterials

Materion Advanced MaterialsGroup2978 Main Street, Buffalo, NY 14214, USATel: +1 716 837 1000Fax: +1 716 833 2926www.williams-adv.com

Matheson Tri-Gas6775 Central Avenue, Newark, CA 94560, USATel: +1 510 793 2559Fax: +1 510 790 6241www.mathesontrigas.com

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

107

Nouryon Functional Chemicals B.V. Zutphenseweg 10, 7418 AJDeventer, The Netherlands Tel. +31 652 478554 https://hpmo.nouryon.com

Praxair Electronics 542 Route 303, Orangeburg, NY 10962, USA Tel: +1 845 398 8242 Fax: +1 845 398 8304 www.praxair.com/electronics

Vital Thin Film Materials(Guangdong) Co Ltd (Vital Materials subsidiary)18G, 18th Floor, Shenzhen FreeTrade Centre, No.111 Taizi Road,Nanshan District, Shenzhen, Guangdong, China 518067Tel: (+86) [email protected] Materials is the world’s leading producer of rare metals as well as the first Chinese manufacturer todeliver G11 rotary ITO target. Vital isalso one of the world’s three majorsupplier of infrared materials, a keysupplier of compound semiconductorsubstrates, and a strategic partnerof the world’s largest thin film solarmanufacturer.

6 Depositionequipment

AIXTRON SEDornkaulstr. 2, 52134 Herzogenrath, Germany Tel: +49 2407 9030 0 Fax: +49 2407 9030 40 www.aixtron.com

ETC (LPE subsidiary)Via Falzarego, 820021 Baranzate (Mi), ItalyTel: +39 02 383 41 51Fax: +39 02 383 06 118www.lpe-epi.com

Evatec AG Hauptstrasse 1a, CH-9477 Trübbach, Switzerland Tel: +41 81 403 8000Fax: +41 81 403 8001www.evatecnet.com

FHR Anlagenbau Gmbh (Vital Materials subsidiary)Am Hügel 2, D-01458 Ottendorf-Okrilla, GermanyTel: +49 35205 520-0E-mail: [email protected] E-mail: [email protected] Materials is the world’s leadingproducer of rare metals as well asthe first Chinese manufacturer todeliver G11 rotary ITO target. Vital isalso one of the world’s three majorsupplier of infrared materials, a keysupplier of compound semiconductorsubstrates, and a strategic partnerof the world’s largest thin film solarmanufacturer.

LPE S.p.A.Via Falzarego, 820021 Baranzate (Mi), ItalyTel: +39 02 383 41 51Fax: +39 02 383 06 118www.lpe-epi.com

PLANSEE High PerformanceMaterials6600 Reutte, AustriaTel: +43 5672 600 [email protected]

Plasma-Therm LLC10050 16th Street North, St. Petersburg, FL 33716, USA Tel: +1 727 577 4999 Fax: +1 727 577 7035 www.plasmatherm.com

Riber 31 rue Casimir Périer, BP 70083,95873 Bezons Cedex, France Tel: +33 (0) 1 39 96 65 00Fax: +33 (0) 1 39 47 45 62www.riber.com

SVT Associates Inc7620 Executive Drive, Eden Prairie, MN 55344, USATel: +1 952 934 2100Fax: +1 952 934 2737www.svta.com

Temescal, a division of Ferrotec4569-C Las Positas Rd, Livermore, CA 94551, USA Tel: +1 925 245 5817 Fax: +1 925 449-4096www.temescal.net

Veeco Instruments Inc 100 Sunnyside Blvd., Woodbury, NY 11797, USA Tel: +1 516 677 0200 Fax: +1 516 714 1231 www.veeco.com

7 Wafer processingmaterials

Kayaku Advanced Materials Inc 200 Flanders Road, Westborough, MA 01581, USA Tel: +1 617 965 5511 www.kayakuam.com

Praxair Electronics (see section 5 for full contact details)

Versum Materials 8555 S. River Parkway, Tempe, AZ 85284, USA Tel: +1 602 282 1000 www.versummaterials.com

8 Wafer processingequipment

Evatec AGHauptstrasse 1a, CH-9477 Trübbach, SwitzerlandTel: +41 81 403 8000Fax: +41 81 403 8001www.evatecnet.com

EV GroupDI Erich Thallner Strasse 1, St. Florian/Inn, 4782, Austria Tel: +43 7712 5311 0 Fax: +43 7712 5311 4600 www.EVGroup.com

Suppliers’ Directory

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

108

EV Group is a technology andmarket leader for wafer processingequipment. Worldwide industrystandards for aligned waferbonding, resist processing fortheMEMS, nano and semiconductorindustry.

Logitech LtdErskine Ferry Road, Old Kilpatrick, near Glasgow G60 5EU, Scotland, UKTel: +44 (0) 1389 875 444Fax: +44 (0) 1389 879 042www.logitech.uk.com

Plasma-Therm LLC(see section 6 for full contact details)

SAMCO International Inc 532 Weddell Drive, Sunnyvale, CA, USA Tel: +1 408 734 0459 Fax: +1 408 734 0961 www.samcointl.com

SPTS Technology Ltd Ringland Way, Newport NP18 2TA, UK Tel: +44 (0)1633 414000 Fax: +44 (0)1633 414141 www.spts.com

SUSS MicroTec AGSchleißheimer Strasse 90, 85748 Garching, Germany Tel: +49 89 32007 0 Fax: +49 89 32007 162 www.suss.com

Synova SACh. de la Dent d’Oche, 1024 Ecublens, SwitzerlandTel +41 21 694 35 00Fax +41 21 694 35 01www.synova.ch

TECDIA Inc2700 Augustine Drive, Suite 110,Santa Clara, CA 95054 , USATel: +1-408-748-0100Fax: +1-408-748-0111Contact Person: Cathy W. HungEmail: [email protected]

Veeco Instruments Inc (see section 6 for full contact details)

9 Materials & metals

Goodfellow Cambridge LtdErmine Business Park, Huntingdon, Cambridgeshire PE29 6WR, UKTel: +44 (0) 1480 424800Fax: +44 (0) 1480 424900www.goodfellow.com

PLANSEE High PerformanceMaterials6600 Reutte, AustriaTel: +43 5672 600 [email protected]

TECDIA Inc 2700 Augustine Drive, Suite 110,Santa Clara, CA 95054, USATel: +1 408 748 0100Fax: +1 408 748 0111www.tecdia.com

10 Gas and liquidhandling equipment

Cambridge Fluid Systems12 Trafalgar Way, Bar Hill, Cambridge CB3 8SQ, UK Tel: +44 (0)1954 786800 Fax: +44 (0)1954 786818 www.cambridge-fluid.com

CS CLEAN SOLUTIONS AGFraunhoferstrasse 4, Ismaning, 85737, Germany Tel: +49 89 96 24000 Fax: +49 89 96 2400122 www.csclean.com

Entegris Inc 129 Concord Road, Billerica, MA 01821, USA Tel: +1 978 436 6500 Fax: +1 978 436 6735 www.entegris.com

IEM Technologies LtdFothergill House, Colley Lane,Bridgwater, Somerset TA6 5JJ, UKTel: +44 (0)1278 420555Fax: +44 (0)1278 420666www.iemtec.com

Vacuum Barrier Corporation 4 Barton Lane, Woburn, MA 01801, USA Tel: +1 781 933 3570 Fax: +1 781 933 9428 www.vacuumbarrier.com

Vacuum Barrier’s vacuum-jacketeddynamic and sealed SEMIFLEX LN2pipe delivers LN2 at bulk tankpressure in two-phase condition for on-demand supply. Ourliquid/vapor phase separatorsdeliver low-pressure LN2 to eachuse point for on-demand supply.Combine with SEMIFLEX Triax LN2pipe eliminates two-phase flow toall use points.

Versum Materials 8555 S. River Parkway, Tempe, AZ 85284, USA Tel: +1 602 282 1000 www.versummaterials.com

11 Process monitoringand control

Conax Technologies 2300 Walden Avenue, Buffalo, NY 14225, USA Tel: +1 800 223 2389 Tel: +1 716 684 4500www.conaxtechnologies.com

k-Space Associates Inc 2182 Bishop Circle East, Dexter, MI 48130, USA Tel: +1 734 426 7977 Fax: +1 734 426 7955www.k-space.com

KLA-Tencor One Technology Dr, 1-2221I, Milpitas, CA 95035, USA Tel: +1 408 875 3000 Fax: +1 408 875 4144www.kla-tencor.com

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

109

Suppliers’ Directory

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

110

LayTec AG Seesener Str. 10–13,10709 Berlin,Germany Tel: +49 30 89 00 55 0 Fax: +49 30 89 00 180 www.laytec.de LayTec develops and manufacturesoptical in-situ and in-line metrologysystems for thin-film processeswith particular focus on compoundsemiconductor and photovoltaicapplications. Its know-how is based on optical techniques:reflectometry, emissivity correctedpyrometry, curvature measurementsand reflectance anisotropy spectroscopy.

Vacuum Barrier Corporation 4 Barton Lane, Woburn, MA 01801,USA Tel: +1 781 933 3570 Fax: +1 781 933 9428 www.vacuumbarrier.com

Vacuum Barrier’s vacuum-jacketeddynamic and sealed SEMIFLEX LN2pipe delivers LN2 at bulk tank pressurein two-phase condition for on-demandsupply. Our liquid/vapor phaseseparators deliver low-pressure LN2

to each use point for on-demandsupply. Combine with SEMIFLEXTriax LN2 pipe eliminates two-phaseflow to all use points.

WEP (Ingenieurbüro Wolff für Elektronik- undProgrammentwicklungen) Bregstrasse 90, D-78120 Furtwangen imSchwarzwald, GermanyTel: +49 7723 9197 0 Fax: +49 7723 9197 22 www.wepcontrol.com

12 Inspection equipment

Bruker Oestliche Rheinbrueckenstrasse 49, Karlsruhe, 76187, Germany Tel: +49 (0)721 595 2888 Fax: +49 (0)721 595 4587 www.bruker.com

KLA–Tencor160 Rio Robles, Suite 103D, San Jose, CA 94538-7306, USATel: +1 408 875-3000Fax: +1 510 456-2498www.kla-tencor.com

13 Characterizationequipment

J.A. Woollam Co. Inc. 645 M Street Suite 102, Lincoln, NE 68508, USA Tel: +1 402 477 7501 Fax: +1 402 477 8214 www.jawoollam.com

Lake Shore Cryotronics Inc 575 McCorkle Boulevard, Westerville, OH 43082, USA Tel: +1 614 891 2244 Fax: +1 614 818 1600 www.lakeshore.com

14 Chip test equipment

Riff Company Inc1484 Highland Avenue, Cheshire,CT 06410, USATel: +1 203-272-4899Fax: +1 203-250-7389www.riff-co.com

Tektronix Inc14150 SW Karl Braun Drive,P.O.Box 500, OR 97077, USA www.tek.com

15 Assembly/packagingmaterials

ePAK International Inc 4926 Spicewood Springs Road, Austin, TX 78759, USA Tel: +1 512 231 8083 Fax: +1 512 231 8183 www.epak.com

Gel-Pak 31398 Huntwood Avenue, Hayward, CA 94544, USA Tel: +1 510 576 2220Fax: +1 510 576 2282www.gelpak.com

Wafer World Inc (see section 3 for full contact details)

Materion Advanced MaterialsGroup2978 Main Street, Buffalo, NY 14214, USATel: +1 716 837 1000Fax: +1 716 833 2926www.williams-adv.com

16 Assembly/packagingequipment

CST Global Ltd4 Stanley Boulevard, Hamilton International Technology Park, Blantyre, Glasgow G72 0BN, UKTel: +44 (0) 1698 722072www.cstglobal.uk

Kulicke & Soffa Industries1005 Virginia Drive, Fort Washington, PA 19034, USA Tel: +1 215 784 6000Fax: +1 215 784 6001 www.kns.com

Palomar Technologies Inc 2728 Loker Avenue West, Carlsbad, CA 92010, USA Tel: +1 760 931 3600 Fax: +1 760 931 5191 www.PalomarTechnologies.com

PI (Physik Instrumente) L.P.16 Albert St . Auburn , MA 01501, USATel: +1 508-832-3456,Fax: +1 508-832-0506www.pi.wswww.pi-usa.us

TECDIA Inc 2700 Augustine Drive, Suite 110,Santa Clara, CA 95054, USATel: +1 408 748 0100Fax: +1 408 748 0111www.tecdia.com

17 Assembly/packagingfoundry

Quik-Pak10987 Via Frontera, San Diego, CA 92127, USA Tel: +1 858 674 4676 Fax: +1 8586 74 4681 www.quikicpak.com

18 Chip foundry

CST Global Ltd4 Stanley Boulevard, HamiltonInternational Technology Park,Blantyre, Glasgow, G72 0BN, UKTel: +44 (0) 1698 722072www.cstglobal.uk

United Monolithic Semiconductors Route departementale 128, BP46, Orsay, 91401, France Tel: +33 1 69 33 04 72 Fax: +33 169 33 02 92 www.ums-gaas.com

19 Facility equipment

RENA Technologies NA3838 Western Way NE, Albany, OR 97321, USA Tel: +1 541 917 3626www.rena-na.com

Vacuum Barrier Corporation 4 Barton Lane, Woburn, MA 01801,USA Tel: +1 781 933 3570 Fax: +1 781 933 9428 www.vacuumbarrier.com

Vacuum Barrier’s vacuum-jacketeddynamic and sealed SEMIFLEX LN2pipe delivers LN2 at bulk tank pressurein two-phase condition for on-demandsupply. Our liquid/vapor phaseseparators deliver low-pressure LN2

to each use point for on-demandsupply. Combine with SEMIFLEXTriax LN2 pipe eliminates two-phaseflow to all use points.

20 Facility consumables

PLANSEE High PerformanceMaterials6600 Reutte, AustriaTel: +43 5672 600 [email protected]

W.L. Gore & Associates401 Airport Rd, Elkton, MD 21921-4236, USA Tel: +1 410 392 4440Fax: +1 410 506 8749www.gore.com

21 Computer hardware& software

Crosslight Software Inc121-3989 Henning Dr., Burnaby, BC, V5C 6P8, Canada Tel: +1 604 320 1704Fax: +1 604 320 1734www.crosslight.com

Semiconductor TechnologyResearch Inc10404 Patterson Ave., Suite 108, Richmond, VA 23238, USATel: +1 804 740 8314Fax: +1 804 740 3814www.semitech.us

22 Used equipment

Brumley South Inc422 North Broad Street,Mooresville, NC 28115, USATel: +1 704 664 9251Email: [email protected] As an ISO 9001 registered global leader in the remanufacturing of wafer inspection systems, Brumley South Inc specializes in designing,

installing and supporting upgradesfor ADE, Nanometrics, Dryden andKLA-Tencor Surfscan tools,polysterene latex sphere calibrationstandards, particle deposition systems,and semiconductor parts and service.

Class One Equipment Inc 5302 Snapfinger Woods Drive, Decatur, GA 30035, USA Tel: +1 770 808 8708Fax: +1 770 808 8308www.ClassOneEquipment.com

23 Services

Riff Company Inc1484 Highland Avenue, Cheshire, CT 06410, USATel: +1 203-272-4899Fax: +1 203-250-7389www.riff-co.com

TECDIA Inc2700 Augustine Drive, Suite 110,Santa Clara,CA 95054 , USATel: +1-408-748-0100Fax: +1-408-748-0111Contact Person: Cathy W. Hungwww.tecdia.com

24 Resources

Al Shultz Advertising Marketing for AdvancedTechnology Companies 1346 The Alameda, 7140 San Jose, CA 95126, USA Tel: +1 408 289 9555 www.alshuktz.com

SEMI Global HeadquartersSan Jose, CA 95134, USATel: +1 408 943 6900www.semi.org

Yole Développement 69006 Lyon, France Tel: +33 472 83 01 86www.yole.fr

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

111

11–15 December 202167th IEEE International Electron DevicesMeeting (IEDM 2021)Hilton San Francisco Union Square Hotel, San Francisco, CA USAE-mail: [email protected]

15–17 December 2021SEMICON Japan 2021 (Hybrid: Onsite & Virtual) Tokyo Big Sight, Tokyo, Japan E-mail: [email protected] www.semiconjapan.org/en

28–30 December 2021 SEMICON Taiwan 2021 Taipei Nangang Exhibition Center, Hall 1 (TaiNEX 1),Taipei, Taiwan E-mail: [email protected] www.semicontaiwan.org/en

22–27 January 2022 Photonics West 2022 Moscone Center, San Francisco, CA, USA E-mail: [email protected] www.spie.org/conferences-and-exhibitions/photonics-west

13–18 February 2022)24th European Microwave Week (EuMW 2021) ExCel, London, UK E-mail: [email protected] www.eumweek.com

6–10 March 2022 Optical Fiber Communication Conferenceand Exhibition (OFC 2022) San Diego Convention Center, San Diego, CA, USA E-mail: [email protected] www.ofcconference.org

20–24 March 202237th annual Applied Power ElectronicsConference (APEC 2022) George R. Brown Convention Center, Houston, Texas, USA E-mail: [email protected]://apec-conf.org/conference/sessions/technical

25–27 April 2022 18th International Conference onConcentrator Photovoltaic Systems (CPV-18)and 13th World Conference onThermophotovoltaic Generation of Electricity(TPV-13) University of Miyazaki, Japan E-mail: [email protected] www.cpv-18.org

26–28 April 2022 25th Annual Components for Military &Space Electronics Conference (CMSE 2022) Four Points by Sheraton (LAX) Los Angeles, Los Angeles, CA, USA Abstract submission deadline: 15 January 2022E-mail: [email protected]/cmse

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021 www.semiconductor-today.com

112

event calendarIf you would like your event listed in Semiconductor Today’s Event Calendar,then please e-mail all details to the Editor at [email protected]

Applied Energy Systems 51

CS Clean Solutions 45

Fuji Electric 9

IQE 2

k-Space 57

Mettler Toledo 27

Vacuum Barrier Corp 37

Vistec 39

Advertiser Page no. Advertiser Page no.

advertisers’ index

9 May 2022 36th annual Reliability of CompoundSemiconductors Workshop (ROCS 2022) Monterey Marriott & Conference Center, Monterey, CA, USA E-mail: [email protected] www.jedec.org/events-meetings/rocs-workshop

9–12 May 2022 2022 CSMANTECH (InternationalConference on Compound SemiconductorManufacturing Technology) Monterey Marriott & Conference Center, Monterey, CA, USA E-mail: [email protected] www.csmantech.org

10–12 May 2022 PCIM (Power Conversion and IntelligentMotion) Europe 2022 Nuremberg, Germany E-mail: [email protected] www.mesago.de/en/PCIM/main.htm

12–13 May 2022 Collaboration and innovation across the CSsupply chain (CoInnovateCS) Monterey Marriott & Conference Center, Monterey, CA, USA E-mail: [email protected] www.coinnovatecs.com

15–20 May 2022 2022 Conference on Lasers & Electro-Optics(CLEO)San Jose Convention Center, San Jose, CA, USA E-mail: [email protected] www.cleoconference.org

30 May – 3 June 2022IEEE 72nd Electronic Components andTechnology Conference (ECTC 2022) The Sheraton San Diego Hotel and Marina, San Diego, CA, USA E-mail: [email protected] www.ectc.net

13–17 June 2022 2022 IEEE VLSI Symposium on Technology& Circuits - Technology and Circuits for theCritical Infrastructure of the Future Hilton Hawaiian Village, Honolulu, HI, USA E-mail: [email protected] www.vlsisymposium.org

10–15 July 2022 (postponed from 14-19 June 2020, then 4-9 July 2021)20th International Conference on Metal Organic Vapor Phase Epitaxy(ICMOVPE XX)Stuttgart, GermanyE-mail: [email protected]

21–25 August 2022SPIE Optics + Photonics 2022San Diego Convention Center, San Diego, CA, USA E-mail: [email protected] www.spie.org/opstm

31 August – 2 September 2022 PCIM (Power Conversion, IntelligentMotion) Asia 2022 Shanghai New International Expo Centre, China E-mail: [email protected] www.pcimasia-expo.com

11–16 September 202219th International Conference on SiliconCarbide and Related Materials (ICSCRM 2022)Davos, SwitzerlandE-mail: [email protected]

19–21 September 2022 48th European Conference on OpticalCommunication (ECOC 2022) Basel, Switzerland E-mail: [email protected] www.ecoco2020.org

25–30 September 2022 25th European Microwave Week (EuMW 2022) MiCo, Milan, Italy E-mail: [email protected] www.eumweek.com

16–21 October 2022International Workshop on Bulk NitrideSemiconductors — XI (IWBNS-XI)Lehigh Valley, PA, USA E-mail: [email protected]

15–18 November 2022 SEMICON Europa 2022 (co-located with electronica) Messe München, Munich, Germany E-mail: [email protected] www.semiconeuropa.org

Event Calendar

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 16 • Issue 9 • November/December 2021

113

www.semiconductor-today.com

Choose Semiconductor Today for . . .

MAGAZINE

Accurate and timely coverage of the compound semiconductorand advanced silicon industries

Published 10 times a year and delivered by e-mail and RSS feeds

Targeted 82,000+ international circulation

WEB SITE

Average of over 26,000 unique visitors to the site each month

Google-listed news source

Daily news updates and regular feature articles

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com

Graphensic spun off •Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor•Oclaro and Opnext merge

ISSN 1752-2935 (online)

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Follow us on Twitter: Semiconductor_T

Join our LinkedIn group: Semiconductor Today