Large-area patterned magnetic nanostructures by self-assembling of polystyrene nanospheres

29
IOP PUBLISHING JOURNAL OF PHYSICS D: APPLIED PHYSICS J. Phys. D: Appl. Phys. 41 (2008) 153001 (29pp) doi:10.1088/0022-3727/41/15/153001 TOPICAL REVIEW Large area patterned magnetic nanostructures A O Adeyeye 1 and N Singh 1,2 1 Department of Electrical and Computer Engineering, National University of Singapore, Singapore 2 Institute of Microelectronics, A*STAR, Singapore E-mail: [email protected] (A O Adeyeye) Received 2 February 2008, in final form 1 May 2008 Published 18 July 2008 Online at stacks.iop.org/JPhysD/41/153001 Abstract Magnetic nanostructures are attracting considerable interest due to their unique properties and potential applications. There are various challenges associated with the fabrication of highly ordered large area magnetic nanostructures and the understanding of their magnetization reversal processes. This review focuses on the use of the deep ultraviolet lithography technique in fabricating arrays of magnetic nanostructures of varying geometrical parameters over a large area. Using resolution enhancement techniques such as alternating phase shift and chrome-less phase shift masks (PSMs), arrays of ferromagnetic nanostructures with lateral dimensions below the conventional resolution limit have been fabricated. Comprehensive investigation of the relationship between the swing amplitude and the pattern size using alternating PSM lithography is presented. Double patterning and double exposure with shifts are used to significantly improve the pattern density and manipulate the magnetic nanostructures. In addition, results of systematic investigations of evolution of magnetic spin states, in-plane anisotropy and magnetostatic interaction in arrays of elongated Ni 80 Fe 20 rings and their derivatives are presented. The magnetization reversal mechanism, the switching field distributions and the transition fields between different magnetic configurations are found to be strongly dependent on the inter-ring spacing, film thickness and any missing segments of the ring. A comprehensive investigation of the spin states and magnetic anisotropy in magnetic antidot nanostructures is also presented. The detailed magnetization reversal reveals a very strong pinning of domain walls in the vicinity of anti-structures, the strength of which was found to be strongly dependent on the anti-structure geometry and field orientation. (Some figures in this article are in colour only in the electronic version) Acronyms BIM binary intensity mask BARC bottom anti-reflection coating CPL chrome-less phase lithography CMOS complementary metal oxide semiconductor CMP chemical mechanical polishing CD critical dimension DUV deep ultraviolet DEWS double exposure with shift DOF depth of focus DRAM dynamic random access memory EBL electron beam lithography EL exposure latitude EUV extreme ultraviolet FEM focus exposure meander ILS image log slope KrF krypton fluoride MRAM magnetic random access memory NA numerical aperture NILS normalized image log slope PR photoresist PSM phase shift mask RET resolution enhancement technique 0022-3727/08/153001+29$30.00 1 © 2008 IOP Publishing Ltd Printed in the UK

Transcript of Large-area patterned magnetic nanostructures by self-assembling of polystyrene nanospheres

IOP PUBLISHING JOURNAL OF PHYSICS D: APPLIED PHYSICS

J. Phys. D: Appl. Phys. 41 (2008) 153001 (29pp) doi:10.1088/0022-3727/41/15/153001

TOPICAL REVIEW

Large area patterned magneticnanostructuresA O Adeyeye1 and N Singh1,2

1 Department of Electrical and Computer Engineering, National University of Singapore, Singapore2 Institute of Microelectronics, A*STAR, Singapore

E-mail: [email protected] (A O Adeyeye)

Received 2 February 2008, in final form 1 May 2008Published 18 July 2008Online at stacks.iop.org/JPhysD/41/153001

AbstractMagnetic nanostructures are attracting considerable interest due to their unique properties andpotential applications. There are various challenges associated with the fabrication of highlyordered large area magnetic nanostructures and the understanding of their magnetizationreversal processes. This review focuses on the use of the deep ultraviolet lithographytechnique in fabricating arrays of magnetic nanostructures of varying geometrical parametersover a large area. Using resolution enhancement techniques such as alternating phase shift andchrome-less phase shift masks (PSMs), arrays of ferromagnetic nanostructures with lateraldimensions below the conventional resolution limit have been fabricated. Comprehensiveinvestigation of the relationship between the swing amplitude and the pattern size usingalternating PSM lithography is presented. Double patterning and double exposure withshifts are used to significantly improve the pattern density and manipulate the magneticnanostructures. In addition, results of systematic investigations of evolution of magnetic spinstates, in-plane anisotropy and magnetostatic interaction in arrays of elongated Ni80Fe20 ringsand their derivatives are presented. The magnetization reversal mechanism, the switching fielddistributions and the transition fields between different magnetic configurations are found to bestrongly dependent on the inter-ring spacing, film thickness and any missing segments of thering. A comprehensive investigation of the spin states and magnetic anisotropy in magneticantidot nanostructures is also presented. The detailed magnetization reversal reveals a verystrong pinning of domain walls in the vicinity of anti-structures, the strength of which wasfound to be strongly dependent on the anti-structure geometry and field orientation.

(Some figures in this article are in colour only in the electronic version)

Acronyms

BIM binary intensity maskBARC bottom anti-reflection coatingCPL chrome-less phase lithographyCMOS complementary metal oxide semiconductorCMP chemical mechanical polishingCD critical dimensionDUV deep ultravioletDEWS double exposure with shiftDOF depth of focusDRAM dynamic random access memory

EBL electron beam lithographyEL exposure latitudeEUV extreme ultravioletFEM focus exposure meanderILS image log slopeKrF krypton fluorideMRAM magnetic random access memoryNA numerical apertureNILS normalized image log slopePR photoresistPSM phase shift maskRET resolution enhancement technique

0022-3727/08/153001+29$30.00 1 © 2008 IOP Publishing Ltd Printed in the UK

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

SCAA Side wall chrome alternating apertureTARC top antireflective coatingUDOF usable depth of focus

1. Introduction

Nanomagnets are of scientific interest both from a fundamentalviewpoint and also due to their potential in a wide range ofemerging applications. Magnetic nanostructures, by virtue oftheir extremely small size, possess both static and dynamicproperties which are quantitatively and qualitatively verydifferent from their parent bulk material. Magnetizationreversal processes can therefore be drastically modified innanostructures confined to sizes that preclude the formationof domain walls. It has been shown by Cowburn et al[1] that the size, shape and magnetic anisotropy play acrucial role in determining the magnetization reversal process.Technologically, nanomagnets are the basic building blocks ofvarious spintronic applications. In data storage, for example,as the recording media rapidly approach the superparamagneticlimit (whereby stored information is unstable due to thermalfluctuations), patterned magnetic media consisting of arraysof single domain nanomagnets have been proposed as acandidate for recording density up to 1 Tb in−2 [2–4]. Onekey challenge however is the development of a low-cost, highresolution technique for fabricating patterned media. Anotheremerging application of magnetic nanostructures is in the areaof magnetic random access memory (MRAM) [5–7], a methodof storing data bits using magnetic charges instead of electricalcharges. MRAM is a revolutionary memory technology, whichhas various advantages over conventional memories such ashigh speed, high density and non-volatility. Nanomagnets arealso being explored in logic applications [9–11]. The conceptof nanomagnetic logic devices has been demonstrated usingsubmicrometre ferromagnetic (FM) dots [8, 11].

A major challenge for technological applications utilizingarrays of magnetic nanostructure is the precise control ofthe magnetic switching processes. This is directly linked tothe quality of the nanomagnets and an understanding of thereversal mechanism with geometrical parameters such as shapeand size. The fabrication of high quality, large area magneticnanostructures is a major challenge in nanomagnetismresearch. Conventional fabrication technologies used inthe microelectronic industry are not always compatible withmagnetism because the process involves high temperaturewhich will degrade the quality of the FM films. It is also verydifficult to use reactive ion beam etching to pattern magneticfilms because it is difficult for the reactive gases to form volatilecompounds when in contact with magnetic materials. Thekey issues to be considered in the development of fabricationtechniques for magnetic nanostructures are critical dimensioncontrol, resolution, size and shape homogeneity, patternedarea and alignment accuracy. In the last few years, variousnanofabrication methods for synthesizing nanomagnets havebeen developed. Electron beam lithography (EBL) is a highresolution method for fabricating magnetic nanostructures.The principle of the EBL technique is the direct writing of thedesired structures on a thin resist layer with a focused beam

of electrons. This method has been used by several groupsto fabricate nanomagnets [12–17]. There are limitations,however, to the use of EBL in fabricating large area magneticnanostructures. The writing process in EBL is serial and veryslow, thus making large area fabrication extremely difficult,although it can be used in the preparation of masks for opticallithography. It is also very difficult to fabricate closely packedhigh aspect ratio nanostructure arrays due to proximity effects.Interference lithography (IL) is another method which hasbeen used by researchers to fabricate large area magneticnanostructures. In this method a resist layer is exposed byan interference pattern generated by two obliquely incidentlaser beams without the use of a mask. In order to fabricatedot arrays, a second exposure after rotating the substratethrough 90◦ is needed. The patterned area is determined bythe diameter of the two laser beams. For processes whereno alignment is required, IL is relatively simple and cheap.Several groups have used this method to fabricate large areamagnetic nanostructures [18–23]. Nanoimprint lithography(NIL) has also emerged as a promising technique for patterningmagnetic nanostructures over a very large area. In NIL,the resist is patterned by physical deformation with a mouldassisted by either thermal effects or UV radiation. Magneticnanostructures can then be fabricated by using the resist asa deposition template or as an etch mask. This techniquehas been used to fabricate large area magnetic nanostructuresof different materials [24–26]. Block copolymer consistingof polymer chains from two chemically distinct polymericmaterials is a self-assembling technique for synthesizing largearea magnetic nanostructures. By using phase separation of theblocks, periodic nanoscale areas with a uniform distributionof size and shape can be formed. This has been used as atemplate for synthesizing large area arrays of nanomagnets[27–30]. The use of light irradiation for the patterning of acontinuous magnetic film without significant modification ofthe surface topography was first proposed by Chappert [31].The structural modification induced by irradiation is a functionof the material. In some cases, chemical disordering isinduced under irradiation [32]. Ion irradiation can alsoinduce intermixing at the interface thus reducing perpendicularmagnetic anisotropy in Co/Pd [31, 33]. For a general reviewof the various techniques for fabricating ordered magneticnanostructures listed above, the reader is referred to Martinet al [34] and Lodder [35].

This review is devoted to the use of the deepultraviolet (DUV) lithography technique at 248 nm exposingwavelength in fabricating large area arrays of magneticnanostructures. Using a combination of alternating phase shiftmask (alternating PSM) and chrome-less phase lithography(CPL) mask, arrays of FM nanostructures with lateraldimensions below the conventional resolution limit of theoptical lithographic tool have been fabricated. One uniqueadvantage of this technique is the fact that unlike e-beamlithography, thicker resists can be used to make high aspectratio nanostructures [36]. The DUV lithography also hasthe ability to tune the side wall profile using focus offsetand resist processing temperatures. This technique is alsocompatible with the conventional charge based complementary

2

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

Figure 1. Schematic diagrams of the exposure systems: (a) contact, (b) proximity and (c) projection.

metal-oxide-semiconductor (CMOS) platform, thus enablingthe integration of novel magneto-electronic devices. Thisreview is organized as follows. Section 2 is devoted to the largearea lithographic technique based on DUV lithography. Thisincludes the discussion on various resolution enhancementtechniques (RETs) such as PSMs and the discussion onvarious nanofabrication challenges with proposed solutions.Section 3 is devoted to the magnetic and transport propertiesof the nanostructures as a function of the various geometricalparameters. The review ends with a conclusion in section 4.

2. Lithography fundamentals

Lithography is a process of patterning fine-scale structures ontoa substrate. Generally, a lithography system uses radiationto expose the recording medium selectively. The recordingmedium is known as a resist. Depending on the type ofexposing radiation, there are various types of lithographytechniques such as optical, e-beam, x-ray and ion beam.This review focuses on the use of optical lithography, alsoknown as photolithography, in the fabrication of magneticnanostructures. A photolithographic system consists of fourfundamental elements: (1) illumination system with energysource; (2) mask containing the patterns corresponding to thestructures to be fabricated; (3) exposure system to generate anaerial image of the mask pattern and (4) a medium known as a‘photoresist’ or a resist for recording the image generated bythe exposure system.

The illumination system uniformly illuminates the patterns onthe mask using a radiation source. Early optical lithographytools used radiation from a mercury lamp (broadband(300–450 nm), g-line (436 nm) and i-line (365 nm)) as thesource of energy. Today, DUV excimer lasers (kryptonfluoride (248 nm KrF) and argon fluoride (193 nm ArF)) arebeing used [37]. To avoid intensity fluctuations on the maskcaused by variations in the brightness of the source points, theillumination system design follows Kohler’s method [38] inwhich the source or image of the source is placed in the focalplane of a convex condenser lens separating the source fromthe mask. In this configuration, the rays originating from eachpoint of the source illuminate the mask as a parallel beam.This averages out the non-uniformity in the brightness of the

source points, so that each location on the mask receives thesame amount of photons.

The mask or reticle is a glass blank containing the layoutinformation of the structures to be patterned on the wafer in theform of physical or optical coding. The conventional mask,also known as a binary intensity mask (BIM) or a chromeon glass (COG) mask, is made with chrome (Cr) patternson a transparent blank; the blank material depends upon theexposing wavelength. Besides conventional binary masks,optical lithography uses PSMs for resolution enhancement[39]. In this mask, along with transmission, the phase of theimaging radiation is also controlled to form the high resolutionimage. The commonly known PSMs are attenuated PSM,alternating PSM and chrome-less PSM.

The exposure system generates the optical image of the maskpatterns on to the wafer. Contact and proximity tools are thesimplest lithography systems. In contact exposure systems,developed in the early 1960s, the mask is in hard contactwith the wafers as shown in figure 1(a). The patterns on themask are transferred to the wafer in a single exposure usingbroadband light with a spectrum from 300 to 450 nm. Thecontact lithography offers high resolution, but suffers frommask damage due to hard contact between the mask and theresist wafer. This leads to defect generation on the wafers,which consequently constrained this process in manufacturing.Proximity lithography reduces mask damage by keeping themask a few (10–25) micrometres away from the wafer as shownin figure 1(b). The drawback is the poor resolution becauseof diffraction effects resulting from the gap between the maskand wafer. The most commonly used exposure system is theprojection lithography system. It uses the concept of projectingthe reticle image through a lens system (projection optics) assketched in figure 1(c). Although not shown, the projectionoptics consists of complex optical elements with more than 40lenses [40]. The current projection tools are reduction steppersand scanners. In a stepper, a full mask field is exposed at a timewhile, as the name implies, a scanner scans the mask fieldthrough a slit. Because of the reduced field size (5× reductionin steppers and 4× in scanners) the wafer is exposed usingmultiple shots; the exposure dose and focus can be controlledindependently.

3

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

Figure 2. Sketches of (a) reflections at interfaces, (b) standing waves and waviness in the resist profile with a real effect using a SEM imageas inset and (c) exposure dose swing curve.

The recording medium in an optical lithography process is aphotoresist (PR). The intensity image created by the imagingsystem, known as an aerial image, exposes the PR layer.It generates a latent image in the PR, which upon developmentresults in a relief image of the mask patterns into the resist layer.Depending on its dissolution characteristics in the developer,a PR can be classified as positive or negative. For a positiveresist, the development rate increases with the exposure dose,whereas for a negative resist, the development rate decreaseswith the exposure dose. Usually, for both types of resists, thereis no impact on the dissolution rate up to a certain dose andsubsequently it changes logarithmically with the dose untilthe resist is completely dissolved for the positive resist andcompletely gelled in the case of a negative resist. The steepnessof the dissolution is a measure of the contrast of the resist.Due to the different optical properties of the resist and thesubstrate material, the exposure of the PR results in thin filminterference effects caused by reflections from interfaces asillustrated in figure 2(a). The running reflected wave uponinterference with the incident wave produces standing wavesin the resist layer. Standing waves being stationary result in anoscillatory distribution of exposure energy along the thicknessof the resist layer which creates waviness in the profile assketched in figure 2(b). The presence of standing waves can beclearly seen in the SEM image shown as an inset in the figure.Thin film interference also causes swing effects, where therequired exposure dose becomes a sinusoidal function of thethickness as illustrated in figure 2(c). The period of the swingis a function of the resist refractive index and the wavelengthof exposing radiation.

The monotonic increase in the peak dose with the resistthickness is a result of absorption in the resist. Analytically,the swing ratio (a ratio of the value of the peak-to-valley to thatof the average of peak and valley in one cycle of the periodiccurve in figure 2(c)), S, for a resist with absorption coefficient

α and thickness D is given by [41]

S ∼= 4√

R1R2e−αD, (1)

where R1 is the reflectivity of the resist–air interface and R2

is the reflectivity of the resist substrate interface. Both thewavy side wall profile and the CD swing effect are undesirableand can be suppressed by using top antireflective coating(TARC) (reducing R1), bottom antireflective coating (BARC)(reducingR2) or by increasing the resist absorbance (αD) usinga dyed photoresist. The conditions needed for a material to actas a good TARC layer are very stringent; the dyed resist has asloppy profile and therefore the use of BARC has become themost common solution to suppress standing waves and swingeffects in the resist.

2.1. Image formation and resolution limit

Although projection lithography tools are very sophisticated,the image formation can be fully explained by reducing it toa two lens optical system as shown in figure 3(a). It consistsof a light source, a condenser lens, mask, an objective lensand finally the resist-coated wafer. The mask image isprojected on the resist-coated wafer. In the process of imaging,the light source uniformly illuminates the mask through thecondenser lens. The radiation passing through the transparentregions of the mask is partially diffracted before reaching theobjective lens. The low spatial frequencies corresponding tothe larger patterns appear closer to the lens centre, whereashigh frequencies corresponding to the smaller patterns andpattern corners fall towards the periphery of the lens pupil.The objective lens, being of finite size, cannot collect all ofthe light in the diffraction pattern. The diffracted radiationaccepted by the pupil is collimated by the objective lens andinterferes at the wafer plane to constitute the image. The lossof diffraction information is the ultimate limiter of the imagequality and resolution. The highest frequency waves, also

4

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

Condenserlens

Objectivelens pupil

φ θSource

(a)

Mask NAproj = Sin NA ill = Sin φ

θ Resistcoatedwafer

E-fieldamplitude

f )

0 1/P-1/P

3/P

5/P

7/P-3/P

-5/P

-7/P(b)

Frequency (fx)

Figure 3. (a) A reduced two lens optical configuration of a lithography projection tool. (b) Diffraction spectrum of dense equal line spacepatterns. The frequency axis is normalized to the wavelength.

known as cut-off frequency fc waves, participating in theimage formation dictate the resolution limit. The sine of theangle, θ , subtended by the highest frequency wave acceptedis known as the numerical aperture (NA) of the projectionsystem. Interestingly, the corners of large or small patternsproduce high frequency diffracted radiation which fall outsidethe capture range of the lens due to a reduction in the distancebetween the two edges of the pattern forming the corner. Thisis the main reason why corners are always rounded in opticalimaging.

The diffraction spectrum depends on the density, shapeand size of structures. Shown in figure 3(b) is a sketch ofthe diffraction spectrum from a repeated equal line spacepattern with pitch p. The arrows correspond to discretediffraction orders formed by a constructive interference ofthe rays diffracted from the patterns. In an optical projectionlithography system, the smallest features that can be printed,i.e. the resolution, is given by the relation

R = K1λ

NA, (2)

where K1 is a process dependent parameter usually ∼0.6for conventional lithography with a theoretical limit of 0.25,λ is the wavelength of the exposure tool and NA is thenumerical aperture. In our fabrication technique, we have useda KrF exposing wavelength of 248 nm and a DUV lithographyscanner with a maximum NA of 0.68. The K1 factor is ameasure of the degree of difficulty in printing a particularfeature. There has been tremendous progress in reducingK1 through the use of resolution enhancement technologysuch as various PSM approaches, off-axis illumination, opticalproximity correction methods and other approaches [42].A process with K1 of 0.8 is considered easy; a process withK1 smaller than 0.5 is extremely difficult to achieve withoutany RETs.

2.2. Image qualification

Modulation contrast is one of the simplest methods to quantifythe quality of the aerial image. It is defined by the equation [43]

M(f ) = Imax − Imin

Imax + Imin, (3)

where M(f ) is the modulation contrast of a feature with spatialfrequency f , Imax is the maximum intensity and Imin is theminimum intensity in the image. Modulation contrast is agood parameter to quantify the image quality of dense patterns.The higher the value of M(f ), the better the image quality.However, the correct information about dark field isolatedfeatures such as a hole is not provided. In such cases, Imin

remains zero and therefore the modulation contrast is always‘1’ irrespective of the feature size.

Exposure latitude (EL) is a metric to quantify aerial images ofall kinds of features. It determines the maximum dose variationa feature can tolerate before it falls beyond the acceptablerange, known as the specification limit. Mathematically, EL isgiven by the relation

EL = DH − DL

DT× 100%, (4)

where DH, DL and DT are exposure dose values correspondingto the acceptable highest critical dimension (CD), lowestCD and CD on target, respectively. EL is one of thefactors that determine the practical resolution limit oflithography. A process usually desires EL of about 15% in themanufacturing environment. Smaller EL may result in a highdose sensitivity which can result in large process variations.In addition to EL, the slope of the CD versus dose plot issometimes used to compare the EL. Furthermore, the transition

5

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

Patten on the mask

ww

Inte

nsity

(I)

Intensity at resist edge

Horizontal position (x)

Figure 4. Schematic diagram illustrating the image intensity slopeline at the pattern edge position for the calculation of NILS.

from bright to dark or dark to bright within an aerial image isthe source of the information which determines the positionof the edge of a PR pattern as shown in figure 4. The slopeof the aerial image at transition is an important parameter.Because of the logarithmic dependence of the resist dissolutionon the exposure dose, the slope of the logarithm of an aerialimage, d(ln I )/dx, measured at the desired PR edge position,determines the sensitivity of the pattern CD to the exposuredose. This quantity is known as an image log slope (ILS). Thehigher the value of ILS, the more robust is the pattern againstdose fluctuations. Steeper intensity transitions are thereforepreferred to define the edge. Since the tolerance of a featureis usually proportional to the CD, the ILS once multipliedby the pattern CD, known as the normalized image log slope(NILS) [44], provides the robustness of the image. Thus, theNILS is given by

NILS = CD × d(ln I )

dx= CD

I× dI

dx. (5)

The steepness of the aerial image is directly linked with the EL.Therefore, the NILS is a direct measure of EL.

In addition to the EL, the depth of focus (DOF) is anotherimportant parameter that determines the practical resolutionlimit of lithography. The DOF is defined as the total alloweddeviation in the wafer plane from the image plane, before thepattern goes out of specification. With deviation from theimage plane, the image gets blurred due to phase differencesbetween the image, forming rays passing through differentparts of the projection lens. The DOF is required to take careof non-planarity caused by inaccuracies, such as position andtilt in the image plane, uniformity of the wafer chuck and thetopography of the wafer. Mathematically, the DOF is definedby the equation [45]

DOF = K2λ

NA2 , (6)

where K2 is the coefficient of the DOF. Its value varies with theprocess parameters. In practice, the DOF is calculated from aCD versus focus offset plot. For a manufacturable process, aDOF of about 3× that of the feature size is desired.

2.3. Phase shift masks

Phase shift masks (PSMs) are being used in photolithographyas RETs. PSMs work by adding phase information to theamplitude information of conventional masks and increaseresolution by using the principle of destructive interference.

Attenuated phase shift mask (attenuated PSM) is a soft RETbeing used in the semiconductor industry. It is similar to abinary mask except that the opaque chrome is replaced bya partially transmitting layer [46, 47], such as molybdenumsilicide, with a 180◦ phase shift with respect to the clearregions.

Alternating phase shift mask (alternating PSM) is funda-mentally different from the binary mask [48]. In an alternatingPSM, the clear areas on either side of a line are opposite inphase. The chrome-less phase lithography (CPL) mask is avariant of the alternating PSM in which the opposite phaseregions touch each other without a chrome border [49]. Dueto phase cancellation effects, the diffracted light from eitherside of the chrome line/phase edge cancel each other and keepthe line dark and narrow. A schematic comparison of anattenuated PSM and an alternating PSM with binary is shownin figure 5. Figures 5(a) and (b) show a 3D top view and cross-sectional-view of the mask, respectively; the grey colour forthe opaque region of the attenuated PSM is used to indicatepartial transmission. Shown in figure 5(c) is a sketch of theelectric field transmission function of the mask; the π phasetransmission is shown as a negative field. Interestingly, thenet step height in the electric field function is increased forattenuated PSM compared with the binary mask. This resultsin a higher image contrast upon imaging through the projectionsystem. In physical terms, π -phase background transmissionstrengthens the higher diffraction orders at the cost of 0th ordersas sketched in figure 4(d). For a line/space pattern with pitch,p, and space width, w, the 0th order reduces from w/p to((w/p)− t ((p −w)/p)), while all the non-zero orders gain inamplitude by a factor of (1 + t), where t = √

T is the π phaseelectric field transmittance [50]. Apart from these magnitudechanges, there is no distinction between an attenuated PSM andbinary imaging. The aperture drawn in figure 5(d) illustratesthat for the pitch under consideration, diffraction orders higherthan ±1 are rejected by the lens. Figure 5(e) shows theincrease in image contrast due to the reduced background andstrengthened higher diffraction orders, which increase furtherwith the increase in background transmission. However, theposition of diffraction orders remains unaltered so there is nogain in the theoretical resolution limit using an attenuated PSM.

In an alternating PSM the adjacent apertures are 180◦ outof phase; the thickness modulation of the mask blank is used tocreate a 180◦ phase difference. The electric field transmissionfunction of the mask, where the negative field corresponds toπ phase transmission, is shown in figure 5(c). Interestingly,the period of electric field transmission function is doubledin comparison with the binary mask. The diffraction ordersin an alternating PSM are, therefore, separated by a spatialfrequency of 1/2p instead of 1/p in the binary case as shownin figure 5(d). The reduction in separation of diffraction ordersto half doubles the cut-off spatial frequency and thus increases

6

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

Figure 5. Schematic comparison of an attenuated PSM, an alternating PSM with a binary COG mask; w and p are the space width and thepitch, respectively. Lens apertures are drawn as semi-transparent blocks rejecting the higher diffraction orders. ±NA/λ is the cut-offfrequency.

the resolution by 2×. Further, the alternating PSM does nothave 0th diffraction order and thus imaging occurs only usinghigher diffraction orders, which lie symmetrically inside thelens pupil. The complete elimination of the 0th order results ina very high image contrast as there is no background intensity,shown in figure 5(e). The alternating PSM was introducedin 1982; however, the full potential of this technology forresolution enhancement is yet to be realized due to a numberof problems. Besides implementation of phases on complexcircuits [51], the phase error control in mask fabrication is oneof the obvious problems. The fabrication of an alternatingPSM is challenging due to the precise etching requirementsof the mask blank to create π phase apertures. The amount ofmaterial removed to generate opposite phase waves is shown infigure 6 and given by d = λ/2(n−1), where n is the refractiveindex and λ is the wavelength.

At 248 nm wavelength, the refractive index, n, of quartzis ∼1.5, which gives the value of the required etch depth, d, as248 nm. In the absence of an etch stop layer, it is difficult toprecisely control the etch depth across the different features.The deviation of the etch depth from the target value resultsin-phase errors. Furthermore, etch defects and scatteringfrom side walls create transmissions errors. Etch profileswith undercuts are usually used to minimize the transmissionerrors [52]. The phase and transmission errors cause an

D

w

d

p

Figure 6. Sketch of an alternating PSM showing two adjacentopposite phase apertures. Light waves are entering in phase andexiting out of phase.

intensity imbalance which results in CD non-uniformity inthe case of spaces and overlay errors in line patterns [53, 54].In the presence of phase errors, �φ, the aerial image intensity,IP−E(x), for a grating-like pattern with pitch, p, and spacewidth, w, is given by [55]

IP−E(x) ≈(

1 − �φ2

4

)Iideal(x) + �φ2

(w

p

)2

, (7)

where Iideal(x) is the intensity profile without phase error.From equation (7), it can be observed that the impact ofphase errors is like a background flare. The second term is

7

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

usually created by the 0th order in the case of binary maskimaging. This flare, however, is focus interactive and withdefocus causes an intensity imbalance between 0◦ and 180◦

apertures. The analytical expression of the intensity profilewith defocus angle ∇ is given by

IP−E(defocus)(x, ∇) ≈(

1 − �φ2

4

)Iideal(x) + �φ2

(w

p

)2

+ 2�φ

(w

p

)Eideal(x) sin(∇), (8)

where Eideal(x) = (4/π) sin(πw/p) cos(2π/p)x, is theelectric field profile without phase error. The last term inequation (8) is the defocus term which makes the intensityimbalance a function of the defocus angle. Perhaps, the biggestproblem with the phase shifting method is the restriction of thepattern layout. The phase shifting method can be easily appliedto periodic patterns; however, it is difficult to apply this methodto random patterns.

2.4. Immersion lithography

As discussed in the above sections, for decades, opticallithography has been incrementally extended to meet the needsof scaling. In due course, reduction of the wavelength hasoccurred from the g-line (436 nm) to the ArF excimer laser(193 nm). Further reduction to 157 nm requires an oxygen-free and water-vapour-free atmosphere, together with manyother complications [56]. The NA reaches the theoreticalmaximum value with diminishing returns. RETs have reducedthe value of K1 towards the theoretical limit of 0.25. Extremeultraviolet (EUV) is facing tremendous commercializationproblems in terms of engineering and cost, including manytechnical challenges [57]. Immersion lithography offers anattractive alternative to increase the resolution by increasingthe NA beyond ‘1’, the theoretical value for dry tools, andmay become the last technique for incremental extensionsof projection optical lithography. The physical concept ofimmersion lithography is governed by Snell’s law. When alight ray passes through a stack of parallel transparent films,the product of the refractive index, n, and sin θ , where θ is theangle the ray makes with the normal to the film, is invariantthroughout the stack. Interestingly, removing or adding anylayer in the stack does not affect the angle of the light in otherlayers of the stack. The product, n sin θ , is known as the opticalinvariant. The maximum value of the optical invariant is n.

Similar to the stack of films, Snell’s law is obeyed inlithography projection imaging systems. The quantity n sin θ

for a diffracted ray is constant from the time it leaves the maskto the time it combines inside the resist with other rays to forman image of the mask (assuming a 1× system for simplicity).Thus, the maximum value of the optical invariant will belimited by the material with the smallest refractive index inthe path of the imaging ray. In dry tools, the layer betweenthe lens and the resist is air and that limits the value of theinvariant to 1.0. By using a fluid instead of air, the maximumvalue of the invariant can be increased to the refractive indexof the fluid until it is lower than the refractive index of thelens material and that of the resist. Therefore, the NA of the

immersion tools can have a value that is n times the NA of adry tool. Increasing the NA increases the resolution as shownin equation (2). Although the concept of liquid immersion toincrease the NA has been used for over 100 years, applying thisto optical lithography is a new and exciting prospect. The keybenefit of immersion lithography is the improved resolutionwithout changing the total lithography platform (resist type,lens material and light source). Furthermore, the resolutiongain is equivalent to two generations of advancement [58].Using water as the immersion liquid (refractive index 1.44),the 193 nm wavelength effectively becomes 134 nm for theimaging purpose while the photon energy remains 6.2 eV, asfar as the resist chemistry is concerned.

2.5. High resolution resist patterning

In order to pattern nanostructures below the conventionalresolution limit of the optical exposure tool, the use of anaggressive RET is necessary. We have used a hybrid PSM,containing an alternating PSM, a chrome-less PSM and anattenuated PSM on the same reticle blank, to develop a highresolution patterning technique for magnetic nanostructures[36]. The mask was fabricated at Hoya Corporation, Japan.Unless otherwise stated, a 280 nm thick DUV resist on a 60 nmthick BARC layer is used in all the patterning experiments.The lithography scanner Nikon S203B used in this work iscapable of patterning more than 60 wafers per hour on an8 in. wafer. It has a field size of 25 mm × 33 mm and eachdie is exposed using multiple shots by the step and scanmethod. Stitching can also be used to pattern arrays biggerthan the field size. In our fabrication, we have limited thepattern area to 5 mm × 5 mm. This patterned area is largeenough and the magnetic moments from the nanostructuresare easily detectable using various magnetometers. We havecharacterized the uniformity of the patterned structures andevaluate variations from the centre to the extremity of the arrayusing inline scanning electron microscope measurements. Wefound that with proper optimization of process conditions, 3σ

CD variations calculated over measured 9 points per array wereless than 10 nm for all the fabricated nanostructures.

This section describes the processes for fabricating arraysof nanostructures in the resist.

2.5.1. Ring nanostructures. High density rings werefabricated using densely packed dark field ring-shaped resistpatterns. The sub-resolution dark field elongated ring-shapedstructures were fabricated in the PR using an alternating PSM.One phase per ring with phase alteration between the ringswas used to achieve a small inter-ring spacing as illustratedin the phase implementation shown in figure 7(a). In thisarrangement, either the 0◦ or the 180◦ phase is assigned to theentire ring with chrome as the background; the adjacent ringsare assigned with an opposite phase. The top view SEM imageof the elongated rings printed using a partial coherence factorof 0.31, at an optimum exposure and focus condition, is shownin figure 7(b). We have also characterized the exposure doseplot on the central oblong and on the resist lines separating therings as shown in figure 7(c). The slope of the exposure plot

8

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

210

240 Central oblongRing spacing

40 50 60 70 80 90 10011012060

90

120

150

180

CD

(nm

)

Exposure Dose (mJ/cm2)

Design CD

(a)

(d) (e) (f)

(b) (c)

Figure 7. (a) Alternating phase implementation for patterning dark field rings in the positive photoresist, (b) top view SEM micrograph ofprinted rings and (c) an exposure dose plot. Tilted top view micrographs of elongated rings at (d) nominal dose, (e) overexposure showingthe central oblong reduced to a tiny dot and (f ) extreme overexposure showing the total disappearance of the central oblong.

on the central oblong is much higher than the phase shiftedlines. Further, the central oblong disappeared at 75 mJ cm−2,while the phase shifted line survived a much higher dose;>115 mJ cm−2.

The poor exposure margin of the central oblong is dueto the fact that it has not benefited from the phase alternation.The central oblong is surrounded by the same phase and thusthe size and process latitude is defined by the conventionalbinary mask process. This limits the use of overexposurein reducing the resist line dimensions. With overexposure,the central oblong is first reduced in size to a small dotand then disappears completely, thus resulting in a closelyspaced rectangular antidot structure, as systematically shownin figures 7(d)–(f ). The spacing between the rectangles couldbe reduced significantly to below 50 nm due to alternatingphase benefits.

2.5.2. Dot nanostructures. The lithography for sub-wavelength densely packed holes was developed using analternating PSM. Due to two-dimensional diffraction fromhole structures on the mask, good process margins could onlybe achieved down to 150 nm half-pitch. A design bias of20 nm was applied to improve the process margin. Shownin figure 8(a) are the focus plots for 150 nm half-pitch holesusing an alternating PSM presented at multiple exposure doses,known as Bossung plots [59]. The Bossung plot was used tofind the optimum focus and dose process point that yields thelargest DOF over a tolerable range of EL. It can be seen fromthe figure that the optimum exposure dose window is from 65to 70 mJ cm−2 which results in CD values within ±10% of

180

75

140

160

70

65

Hol

e C

D (

nm)

-0.4 -0.2 0.0 0.2 0.4 0.6

120

60

Focus (µm)

Figure 8. Bossung plots for 150 nm half-pitch holes using analternating PSM. The drawn holes with phase assignment areshown on top of the SEM micrographs.

the target value with a large DOF (∼0.6 µm). At an exposuredose less than 65 mJ cm−2, the DOF is relatively poor, while atdoses higher than 70 mJ cm−2, the CD value is large. The bestfocus is almost at +0.2 µm with equal negative and positivedefocus margins.

9

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

Scale bar: 200mm

Figure 9. Exposure dose plots at an optimum focus for 150 nmhalf-pitch holes using an alternating PSM. SEM micrographs areat extreme underexposure, hole CD ∼120 nm (top left); nominalexposure, hole CD ∼150 nm (centre); extreme overexposure, holeto hole spacing ∼60 nm (bottom right).

Shown in the lower part of figure 8 are SEM micrographsat different focus conditions. The corresponding mask layoutwith a phase assignment is drawn on top of the micrographs.To take full advantage of the alternating phase shift principle,the 0◦ and 180◦ phases are assigned such that the nearestneighbours of each hole are in opposite phase. Interestingly,the hole width is found to be sensitive to the defocus; the 0◦

phase holes are closed at a negative focus while 180◦ phaseholes are closed at a positive defocus. This can be attributedto the intensity imbalance [55] caused by phase error effectsin the mask.

Shown in figure 9 is the exposure dose behaviour of the150 nm half-pitch hole measured at best focus. The exposuredose margins are extremely high. The CD change with perunit dose is more than 3.5 nm for the full range of exposure.The top view SEM images shown in the figure display avery circular and smooth hole shape across the dose includingextreme conditions. Interestingly, with underexposure, thereis a significant reduction in the EL. This is attributed to thedecreasing slope of the intensity profile towards the peakof the aerial image formed through the hole. The reduceddevelopment rate with reduction in the feature size could alsobe one of the reasons.

2.5.3. Nanowires. Dense nanowires were fabricated usingline and space patterns in alternating and CPL masks. Thefull advantage of these masks is with coherent illumination[48]. Shown in figure 10 are the Bossung plots using analternating PSM. It can be seen from the figure that theoptimum exposure dose, which results in flat focus plots,varies from 53 to 56 mJ cm−2. The large DOF of about0.7 µm is obtained with the best focus at +0.1 µm. Theachieved DOF is more than that required to pattern a planarwafer consistently with the exposure tool used. The largeDOF can be attributed to the symmetrical 2-beam imaging inalternating PSM technology [60]. Shown in the lower part ofthe figure are SEM micrographs at different focus conditions.

in mJ/cm2

120

130

140 50

53

100

110 56

62

Line

CD

(nm

)

-0.4 -0.2 0.0 0.2 0.4 0.6

90

Focus (µm)

180º 0º0º180º 0º180º

Focus: -0.2 µm, 0.1 µm 0.4 µm

100nm 100nm100nm

Figure 10. Focus plots for 1 : 1 line space patterns with 120 nmhalf-pitch using an alt-PSM. The SEM micrographs are taken atan exposure dose of 56 mJ cm−2.

150

160

110

120

130

140

Line

CD

(nm

)

76 mJ/cm241 mJ/cm2

100nm

100nm

40 45 50 55 60 65 70 7590

100

Dose (mJ/cm2)

56 mJ/cm2

100nm

Figure 11. Exposure dose plots at an optimum focus for 1 : 1 linespace patterns with 120 nm half-pitch using an alternating PSM.SEM micrographs are at extreme underexposure, space CD∼100 nm (bottom left); nominal exposure with equal line and space(centre); extreme overexposure, line CD ∼100 nm (top right).

Interestingly, similar to holes, the space width is found to besensitive to the defocus; at a negative defocus, the 0◦ phasespace is smaller than the 180◦ phase space; the converse isalso true at the positive defocus. This can be attributed tothe intensity imbalance caused by phase error effects in themask [55]. Shown in figure 11 is the exposure dose plot. TheCD was measured on the resist line across the dose at the bestfocus. The exposure dose margins are extremely high. The topview SEM images shown in the lower part of the figure displaya very uniform and smooth sidewall across the dose includingextreme conditions.

2.5.4. Double exposure with shift. The double exposurewith shift (DEWS) patterning technique was developed to

10

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

X

Y

Mask

unexposed

shift

1 2 3 4 5

wafer

(c) Final latent image

exposedexposed

1 2

3

4 5

wafer

(a) Latent image in resist after

1st exposure

exposedexposed

unexposed

wafer

(b) Shift in 2nd exposure along

X-axis with respect to latent

image

1 2 3 4 5

(d) Final resist image upon

development

Figure 12. Schematics of DEWS technique: (a) dark line on the mask (top) with corresponding latent image (bottom), (b) the shift in 2ndexposure, region 2, with respect to latent image created in 1st exposure, (c) latent image after 2nd exposure, and (d) the final resist line afterdevelopment.

generate sub-resolution structures from wide patterns on themask [61]. It uses two consecutive exposures where thesecond exposing step is shifted with respect to the first andtrims the latent image created in the first exposure as depictedschematically in figure 12. The shift of the second exposuredetermines the dimension of the final latent image and hencethe pattern width in the resist after development. The secondexposure is self-aligned to the first within the stepping accuracyas between two exposures the wafer remains in the stage.A good stepping accuracy of the exposing tool is of utmostimportance in implementing this technique. The steppingaccuracy of the scanner used for this work was better than10 nm. The total exposure energy (sum of two exposures) inthe DEWS process was found to be similar to the nominaldose in single exposure. Therefore, the flare (scattered lightwithin the projection system) does not have any adverse effecton the DEWS process. Using the DEWS technique, a lowgrade binary mask could be used for patterning sub-100 nmlines in the positive resist. Besides reducing the size of semi-isolated and isolated line patterns, the DEWS has the potentialto generate multiple shapes and increase the pattern densityfrom the given mask features. With the DEWS, the line 3 : 1space pattern at a pitch of 800 nm on the mask patterns on thewafer as 1 : 1 with a pitch of 400 nm. In this particular case, thesecond exposure was shifted along the ‘x’ direction by 400 nmrelative to the first as shown in figures 13(a) and (b).

In another example, oblong holes and modulatednanowires could be fabricated using circular hole patterns. Thetop view SEM micrographs of oblong holes and two differentforms of modulated nanowires fabricated using DEWS areshown in figures 13(c)–(e). Different shift values wereincorporated in the second exposure relative to the first usingthe DEWS process.

2.6. Challenges in using strong PSMs

In the previous sections, we presented RETs for patterningnanostructures. Although uniform patterns over a large area

were achieved at the optimum focus offset, severe patternsize differences, as a result of the intensity imbalance, wereobserved across the focus. This is one of the obvious issueshindering the utilization of the full potential of alternatingphase shift and CPL technology [53, 54]. Another issue isrelated to the impact of low partial coherence illuminationwhich is required for exposure in strong PSMs. As a resultof low-σ illumination, the swing amplitude is found to beenhanced and bigger patterns suffered the most [62].

2.6.1. Intensity imbalance. The first technique used tosuppress the intensity imbalance in an alternating PSM wasthe dual trench method [63]. In this method the adjacentapertures use the 90◦ and 270◦ phases rather than the 0◦ and180◦ ones. Thus, both the apertures are affected by the etchprocess, but not to the same extent since the required etchdepths for the 90◦ and 270◦ phases are different. The use ofa side wall chrome alternating aperture (SCAA) mask [64,65]is another way to overcome the intensity imbalance issue. TheSCAA masks, however, are very expensive due to complicatedfabrication steps. Although throughput reduces to 1/4 of thesingle exposure, the reversed phase double exposure method[66] is one of the better techniques to suppress the intensityimbalance in an alternating PSM. In our process, a reversedfocus double exposure process method for suppressing theintensity imbalance, caused by phase errors in PSMs, has beendeveloped. It uses double exposure at the reversed focus tonullify the phase errors [67]. The experimental validationof the method was carried out by exposing a focus exposuremeander (FEM) twice on the same wafer but with reversedfocus offsets. This led to the negative defocus being overlappedwith the positive defocus of the same amount. A DUV resistwith higher sensitivity was used so that even small errors couldbe captured. The common overlapped focus, known as theusable depth of focus (UDOF), of adjacent space patterns outof 120 nm half-pitch line space using an alternating PSM, wastaken as the figure of merit to quantify the improvement.

11

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

(a) (b)

(c) (d) (e)

Figure 13. SEM micrographs of 3 : 1 line space patterns (200 nm space, 600 nm line) printed as (a) a 3 : 1 duty ratio using single exposureand (b) 1 : 1 at a pitch of 400 nm using DEWS. SEM micrographs showing pattern shape alteration implementing DEWS on circular holes;(c) an oblong hole printed with 100 nm Y shift of the second exposure, (d) modulated nanowires created using 120 nm Y shift in secondexposure along with some increase in the dose [36], (e) sinusoidal modulation with both X and Y nm shifts in second exposure.

Shown in figure 14(a) are conventional single exposurefocus plots for adjacent space patterns corresponding to 0◦

and 180◦ phase apertures in an alternating phase mask. Thepatterns are 120 nm half-pitch dense 1 : 1 line and space. Thefocus curves are non-overlapped and highly tilted. Acrossthe focus, the CD difference of more than 20 nm between 0◦

and 180◦ phase apertures can be seen at focus offset valuesof −0.1 µm (the 180◦ phase trench is wider than the 0◦ phasetrench) and 0.5 µm (the 180◦ phase trench is wider than the 0◦

phase trench).Although the independent DOF of 0◦ and 180◦ apertures is

about 0.4, the combined DOF, known as the UDOF, is reducedto about 0.2 µm. Shown in figure 14(b) are the focus plots of120 nm half-pitch, 0◦ and 180◦ phase adjacent trenches, usingreversed focus double exposure. It can be seen that the plotsare immune to the phase errors; both the 0◦ and 180◦ phasetrenches have fully overlapped and symmetric focus plots.The UDOF is 0.4 µm, which is 0.2 µm more than the singleexposure. This illustrates that the lost DOF, due to intensityimbalance, is completely recovered using reversed focusdouble exposure. The top view SEM images correspondingto the negative defocus (focus offset = −0.2 µm), the bestfocus (focus offset = 0.0 µm) and the positive defocus (focusoffset = 0.2 µm) are shown in the lower part of the figure.The SEM images also demonstrate the elimination of theCD imbalance as the adjacent trenches are equal in boththe images. Thus, using the reversed focus double exposuremethod, the CD non-uniformity caused by phase error inducedintensity imbalance in an alternating PSM can be corrected.In comparison with reversed phase double exposure, thismethod is superior in terms of throughput. Furthermore,in addition to the elimination of phase error related image

imbalance, this method could automatically compensate forfocus-dependent lens aberration such as astigmatism. Thetransmission errors, however, are not corrected in this method.Optimization of 0◦–180◦ aperture bias on the mask is an easysolution to implement.

2.6.2. Enhanced swing amplitude. Although the low-σexposure using an alternating PSM is beneficial in terms ofresolution and DOF [60], it produces high standing wavescaused by the strong interference phenomenon within theresist [68]. The presence of standing waves makes the energycoupling in the resist vary in a sinusoidal fashion. This resultsin the pattern dimension swinging with the resist thickness,known as the CD swing. Although, there are several works onthe CD swing [69–74], none has discussed the behaviour usingan alternating PSM. We have performed a systematic study ofswing effects using an alternating PSM [62]. Interestingly, atlow-σ illumination, the bigger patterns are found to be moresensitive to the swing effect with large swing amplitudes thansmaller patterns. The converse is, however, true for binarymasks at the same partial coherence factor. Furthermore, dueto a low partial coherence factor σ , the CD swings in thealternating PSM process were much larger than that of theusual binary mask processes and, therefore, the alternatingPSM processes may require an improved design or a dual layerof antireflective coatings [75]. The NILS was found to be agood indicator of the swing sensitivity.

To perform this study, a dark field alternating PSM withthe chrome line width varying from 60 to 220 nm in 10 nmsteps was used. The dark lines were made by defining 0◦ and180◦ phase clear apertures of width 1.0 µm on the sides of the

12

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

130(a)

110

120

UDOF = 0.2 µm

(a)

90

-0.2 -0.1 0.0 0.1 0.2 0.3 0.4 0.5

100

CD

(nm

)

0° space

0° space

180° space

180° space

Focus (µm)

120

130(b)

100

110

CD

(nm

)

UDOF = 0.4 µm

-0.3 -0.2 -0.1 0.0 0.1 0.2 0.3

90

Focus (µm)

180º 0º180º 0º 180º 0º 180 0180 0º 180º 0º

100nm 100nm100nm

Figure 14. (a) Focus plots corresponding to 0◦ and 180◦ phaseapertures in an alternating phase 120 nm half-pitch dense, 1 : 1 linespace pattern using single exposure. (b) Focus plots of 0◦ and 180◦

phase spaces using reversed focus double exposure. In the lowerpart are the SEM micrographs at (left) negative defocus (focusoffset = −0.2 µm), (centre) best focus (focus offset = 0.0 µm) and(right) positive defocus (focus offset = 0.2 µm). (From [67].)

chrome line. The FEMs were patterned and measured to findthe best process conditions before doing the swing study. Wehave studied the swing effect with the oxide thickness in therange from 77 to 165 nm prepared using the PECVD process.These wafers were then patterned using the designed maskusing a partial coherence factor of 0.31. The CDs of twoextreme patterns—60 nm and 220 nm—were measured andplotted against the oxide layer thickness. Shown in figure 15is the CD swing curve obtained for 60 and 220 nm alternatingPSM lines. Both the curves show swing behaviour withthe peak and valley at 96 nm and 137 nm oxide thickness,respectively. The peak-to-valley CD swings for 60 nm and220 nm lines are 11 nm and 22 nm, respectively; the ratio ofthe swing amplitudes is 0.5. The printed mean CD values of60 nm and 220 nm lines taken over all the 11 wafers are 89 nmand 178 nm, respectively; the ratio of the printed line CDs isalso 0.5. This shows that the ratio of the CD swing and theratio of the patterned CD of the lines are equal and have avalue of 0.5. The ratio was found to remain unchanged with

92

96

100

184

188

192 60 nm 220 nm

80

84

88

168

172

176

180

CD

of 6

0 nm

line

(nm

)

CD

of 2

20 n

m li

ne (

nm)

80 100 120 140 160

76 164

Oxide Thickness (nm)

Figure 15. CD swings of 60 nm and 220 nm alternating PSM linesdue to oxide thickness variation. (From [62].)

different resists and across the design CD values from 60 to220 nm. A similar effect was observed with the resist thicknessvariation.

2.7. Pattern transfer

In this section, the pattern transfer of some of the resistpatterns, discussed in earlier sections, is presented. Since theuse of reactive ion etching to transfer the resist pattern intomagnetic films is not easy to achieve due to the difficulty forreactive gases to form volatile compounds when in contactwith magnetic materials, a lift-off process has been used. Themagnetic layer was deposited using physical vapour deposition(e-beam evaporation and sputtering) techniques on the resistpatterns. The layer sitting on the resist was lifted off bydissolving the resist in solvent OK73 (trade name of theresist solvent). In the lift-off process it is crucial to have aclean break-off of the film at the pattern edges of the resist.To reduce the step coverage, we designed a collimating sampleholder [76] which restricts the incidence angle of the incomingmaterial thus allowing only material in the paths around thesurface normal to reach the sample. After deposition, thelift-off was performed by soaking the patterned film in OK73Thinner. The lift-off was determined by the colour changein the patterned film and confirmed by examination under aSEM. With the special sample holder, the lift-off process wasmuch easier and high aspect ratio patterned nanostructures withfilm thicknesses (>120 nm) were achieved. SEM micrographsof arrays of Ni80Fe20 wires, circular dots, elongated andcircular rings, antidots and diamond-shaped dots are shown infigures 16(a)–(f ). These SEM images show a very good edgedefinition with the roughness less than 5 nm and are uniformlydistributed across the entire patterned area of 5 mm × 5 mm.The shape and size variation from the centre to the extremityof the magnetic nanostructures in an array is within 10%.The details of the fabrication process have been described bySingh et al [36].

3. Magnetic properties

3.1. Magnetic rings

The magnetic properties of FM nanorings have attractedconsiderable attention, both from a fundamental viewpoint

13

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

(a)

(c)

(e) (f)

(d)

(b)

Figure 16. SEM micrographs of the magnetic nanostructures after deposition and lift-off on the resist patterns: (a) nanowires, (b) circulardots, (c) elongated rings, (d) circular rings, (e) magnetic nanodiamonds and (f ) circular dots encircled with antidots.

and because of their unique properties which hold potentialin applications such as MRAM [77]. Different magnetic stateshave been identified experimentally in FM rings, namely, the‘vortex’ state in which magnetization is circumferential and astate with two opposite head-on (180

◦) domain walls known

as the ‘onion’ state [78–80]. More complicated magnetic spinstates have also been observed in the ring structure, such asstates consisting of two 360◦ domain walls [81] and doublevortex walls in very thick rings [82]. These magnetic statescome from the competition between the magnetostatic energyand exchange energy and depend on geometrical parameterssuch as the film thickness, ring width and ring diameter.A transition from the onion state to the ‘vortex’ state occurswhen one of the walls unpins and traverses the structure,annihilating the other wall to generate a state in which themagnetization runs circumferentially, with no domain walls.In contrast to a thin film disc, where the vortex state isunfavourable in small elements, due to the high exchangeenergy of the vortex core, the vortex core is eliminated in ringsresulting in a stable vortex state. Schematic illustrations ofboth the onion and vortex states are shown in figure 17.

3.1.1. Non-interacting rings. In order to investigate theevolution in magnetic spin states, in particular, the various

Figure 17. Schematic diagrams illustrating the onion andvortex states.

transition states, the effect of the ring thickness was studied[83]. The long axis length of the elongated ring is 780 nm,while the short axis length is 490 nm. All geometricalparameters were kept constant except the ring thickness, whichwas varied from 5 to 60 nm. Shown in figures 18(a)–(c) arethe representative M–H loops for ring arrays as a functionof ring thickness. For the thin film thickness (t = 5 nm),the transition from the ‘onion’ to the ‘vortex’ state is notvery prominent. It has also been shown that for very thinrings the nucleation and reversal of a domain wall is moreimportant than the de-pinning of a domain wall giving rise

14

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

Figure 18. (a)–(c) Representative magnetic hysteresis loops of arrays of elongated Ni80Fe20 rings as a function of the film thickness.Calculated M–H loops of a single elongated Ni80Fe20 ring as a function of the ring thickness are shown in (d)–(e). (From [83].)

to a single transition from the onion to the reverse onionstate, with no vortex state developing during the magnetizationreversal process [84]. As the ring thickness is increased,however, there is a marked increase in the switching fieldand also the range of stability of the magnetic states. Thedetailed features of the loop are also markedly modifiedfor t = 60 nm, and there is a marked reduction in theremanent moment. The onset of the vortex state and the fieldrange (�Hfc) over which it is stable is very sensitive to thethickness of the rings. As the ring thickness is increasedfrom 10 to 60 nm, the field range �Hfc increases from 150to 340 Oe. Understanding these transitions is facilitatedby micromagnetic modelling which we performed using theObject Oriented Micromagnetic Framework (OOMMF) codefrom NIST [85]. The intrinsic parameters used in thesimulations are MS = 800×103 A m−1, A = 13×10−12 J m−1

and K1 = 0 J m−3. A unit cell size of 5 nm was used in thesimulation. We assumed that the intrinsic uniaxial anisotropyof the bulk Ni80Fe20 film is negligible when compared with

shape-induced anisotropy of the Ni80Fe20 elongated rings.Shown in figures 18(d)–(f ) are the corresponding calculatedM–H loops as a function of the ring thickness. Very goodagreement between the simulations and the experimentalresults is observed. In general, the onset of the transition fromthe onion state to the vortex state is highly sensitive to the ringthickness.

3.1.2. Coupled rings The magnetic properties of the arraycan be strongly affected by magnetostatic coupling whenthe rings are placed close to each other. Fundamentally,the effect of magnetostatic interactions on the switchingmechanism and the various magnetic transitions is of interest.Magnetostatic interactions between neighbouring rings canresult in collective behaviour leading to complexities inthe magnetic configurations compared with those of non-interacting rings and differences in the remanence andcoercivity [86]. From an application viewpoint, both the

15

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

ring size and inter-ring spacing will limit the maximumachievable density of devices based on magnetic rings.An understanding and control of magnetostatic interactionsbetween neighbouring elements is therefore crucial in thedesign and implementation of a working product. When theinter-ring spacing is much smaller than the ring lateral size,it is expected that magnetostatic interactions will stronglyaffect the reversal process and therefore cannot be ignored.Wang et al [87] have directly investigated the evolution ofthe magnetic states in both closely packed s = 100 nm(interacting) and widely spaced s = 500 nm (non-interacting)rings using magnetic force microscopy (MFM). The two ringarrays with different inter-ring spacing were fabricated on thesame sample substrate for a direct comparison. The rings werefirst saturated in an in-plane field of −4 kOe along the majoraxis; the field was then removed and the rings were imagedat remanence. Both the ring arrays adopt the onion state atremanence. The evolution in the magnetic states (i.e. fromonion to vortex and vortex to reverse onion) was investigatedby taking images after applying and removing the in-planereverse fields Hrev of increasing magnitudes.

Shown in figures 19(b)–(e) are the representative MFMimages for 20 nm thick Ni80Fe20 ring arrays for a directcomparison. For easy identification the corresponding SEMimages are also shown in figure 19(a). The transition from theonion to the vortex state was found to be strongly dependenton the edge-to-edge-spacing of the rings. For the reverse fieldHrev = 7 Oe, all the rings in both the closely packed andwidely spaced arrays are still in the onion states as shownin figure 19(b). As Hrev is increased to 20 Oe, some columnsof the closely packed rings array switched to the vortex statedue to the effect of magnetostatic interactions as shown infigure 19(c). The reversal of columns of rings is due to theswitching field distribution among the rings. Castano et al[88] have also shown that in ring arrays, the switching ofeach ring is reproducible on field cycling; however, there isa wide range in switching fields among nominally identicalstructures. This has been attributed by Klaui et al [89] toboth thermal excitations and edge roughness, which breakthe symmetry of the ring and allow one wall in the onionstate to unpin before the other. In the interacting ring array,the rings with the smallest switching field reverse first andinduce collective switching of a whole column of rings inthe direction of the applied field. In the isolated ring array,however, this would not be possible because of negligiblemagnetostatic interaction between neighbouring rings. Whenthe reverse field Hrev is increased to 35 Oe, all the rings in theclosely packed array switch from the ‘onion’ to the ‘vortex’state as shown in figure 19(d). For the widely spaced ringarray, most of the rings are still in their onion state while afew rings start to switch independently into the vortex state asindicated by the dotted circles. A complete reversal of boththe widely and closely packed rings from the onion state to thevortex state was achieved when Hrev was increased to 100 Oe.Systematic spin states evolution reveal that for closely packedrings, magnetostatic coupling is very strong and will causethe transition from the onion state to the vortex state to occurat a lower field as compared with the widely spaced rings.

The results are in agreement with magneto-optic Kerr effectstudies of the domain wall coupling and collective switchingin an interacting ring array [90, 91].

3.1.3. Ring derivatives. One of the main problemsassociated with symmetrical ring is the difficulty in pinningthe magnetic domain walls in certain places. Withoutpinning, both magnetic ‘poles’ of the onion state start torotate simultaneously and the reversed onion state is reachedwithout the intermediate flux closure state. Notches havebeen introduced in the ring to pin the domain wall at specificplaces which leads to an asymmetric magnetization reversalfor different applied fields, which is highly dependent on thesize of the notch [92]. An alternative method of introducingasymmetry in the ring is by distorting the shape into an ellipse.Castano et al [17] observed the formation of an additional state,a 360◦ domain wall together with the onion and vortex states inelliptical ring Co nanomagnets. Saitoh et al [93] showed thatthe chirality of the vortex in nanorings can be controlled byoffsetting the inner hole from the middle of the ring. Recently,we have investigated the effect of removing different sectionsof the elongated ring on the magnetization reversal process[94]. Different elongated ring-shaped derivative nanomagnetsof identical dimensions were fabricated over a large area andcharacterized. The SEM micrographs of the various arraysconsisting of uniformly distributed rings, U-shaped, C-shapedand half-ring nanomagnets are shown in figure 20.

The nanomagnets are well defined and are uniform overa large area. The long axis length of the ring is 840 nm, whilethe short axis length is 560 nm. The width of the ring alongthe long axis is 160 nm and the width along the short axisis 180 nm. The edge-to-edge spacing for the ring was set to260 nm. In the U-shaped sample, one of the short axes ofthe ring sample is removed, whereas for the C-shaped sample,one of the long axes is removed. As the transition from theonion to the vortex state is through the displacement of thedomain wall along the periphery of the ring, the magnetizationreversal process is strongly influenced by the missing sectionof the elongated ring structure.

The representative M–H loops for 20 nm thick Ni80Fe20

rings and its derivatives of different shapes as a function ofthe field orientation relative to the long axis are shown infigure 21. A gradual change from two-step to single stepreversal is seen as the structure evolves from a full-ring toa half-ring shape as shown in figures 21(a)–(d). For theU-shaped nanomagnets, a similar M–H behaviour as the ringnanomagnets, with the steps in magnetization loops occurringat the same external field was observed. This implies thatthe magnetization reversal process is mediated by the samemechanism for both the ring and U-shaped nanomagnets. Dueto the absence of one of the shorter axes, only one head-ondomain wall forms in the U-shaped nanomagnets. As the fieldis swept towards positive saturation, the domain wall movesalong one of the long axis and is expelled at the tip of theU-shaped structure. This leads to the switching of one of thelong axis, along the direction of the applied field, as evidencedby the first step in the M–H loop. The magnetization in theU-shaped nanomagnets aligns circumferentially along the edge

16

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

a)

b) 7 Oe

c) 20 Oe

d) 35 Oe

e) 100 Oe

Figure 19. (Colour online) (a) Scanning electron micrographs of arrays of 20 nm thick Ni80Fe20 rings with different ring edge-to-edgespacing s = 100 nm and s = 500 nm. (b)–(d) Corresponding evolution of the magnetic states from the onion state to the vortex state asa function of the reverse field for a 20 nm thick Ni80Fe20 ring array with s = 100 nm and s = 500 nm. (From [87].)

of the structure, similar to the vortex state. The second stepin the M–H loop corresponds to the reversal of the secondlong axis comprising the U-shaped nanomagnets. This isascertained by the equal drop in magnetic moment, following

the switching of each long axis, respectively. For the C-shapednanomagnets, however, a highly sheared two-step M–H loopcharacterized by a small step occurring at an external field of65 Oe followed by saturation switching at an external field of

17

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

Figure 20. Scanning electron micrograph of 20 nm Ni80Fe20 thick; (a) ring (b) U-shaped (c) C-shaped and (d) half-ring nanomagnets. Thelong axis length is 840 nm and the short axis length is 560 nm. The width along the long axis is 160 nm and the width along the short axis is180 nm. The edge-to-edge spacing is 260 nm. (From [94].)

Figure 21. (Colour online) Magnetization loops for 20 nm thick Ni80Fe20 nanomagnets for fields applied along the long axis for (a) ring,(b) U-shaped, (c) C-shaped and (d) half-ring. The corresponding M–H loops for the field applied at 45◦ are shown in (e)–(h). Thecorresponding loops for fields applied along the short axis are shown in (i)–(l). (From [94]).

460 Oe was observed. In the C-shaped nanomagnets, withone of the long axes removed, the formation of the head-on domain wall along the short axis leads to a monotonicdecrease in magnetization as the applied field is decreasedfrom positive saturation. The sharp increase in magnetizationmay be due to the switching of the long axis of the C-shapednanomagnets. This also corresponds to the saturation field ofthe ring and U-shaped nanomagnets as marked by the dashed

lines in figures 21(a) and (b). The half-ring array exhibits thesame M–H behaviour as the C-shaped nanomagnet, due to theabsence of one of the long axes. The corresponding magneticstates at remanence for fields applied along the long axis areshown as insets in figures 21(a)–(d).

In general, an increase in the coercive field of the structureswas observed as the shape is reduced from a full to a half-ring.This may be due to the inability of the structure to sustain a

18

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

complete circumferential vortex state, when one of the axesis removed. Also, the saturation fields for all the structurescoincide as seen in figures 21(a)–(d) implying that the overallreversal of the nanomagnets is dominated by the switching ofone of the long axes of the nanomagnet. The correspondingM–H loops for the field applied at 45◦ relative to the longaxis of the nanomagnets are shown in figures 21(e)–(h). Forall the shapes, double step switching was observed indicatingthat a similar reversal mechanism process evolves. This isfurther supported by the fact that magnetization reversal occursat a similar field as marked by the dashed line. Shownin figures 21(i)–(l) are the corresponding M–H loops forthe fields applied along the short axis of the nanomagnets,θ = 90◦. We observed that all the nanomagnets displaya double step reversal, except for the U-shaped sample asshown in figures 21(i)–(l). The M–H loop for the U-shapednanomagnet as seen in figure 21(j ) is similar to that obtainedfor the half-ring sample when the field is applied along thelong axis, as shown in figure 21(d). The marked changes inthe magnetization loop as the field orientation is varied implythat shape-induced magnetic anisotropy plays a very dominantrole in magnetization.

3.2. Magnetic nanowires

A lot of research has focused on understanding both thestatic and dynamic properties of homogeneous width FMnanowire arrays. It has been shown by Adeyeye et al[95] that the reversal process evolves from a domain walldominated process to coherent spin rotation when the wirewidth is reduced to submicrometre size. Recently wehave conducted extensive investigations of the magnetizationreversal processes in Ni80Fe20 nanowires fabricated using ourlarge area nanofabrication technique [96–98]. Our systematicstudies of the effect of the various geometrical parametersshow that the magnetization reversal mechanism is stronglyinfluenced by the thickness of the nanowires. A cross-over from a coherent dominated reversal mode to curlingmagnetization was observed when the thickness-to-width ratioexceeds 0.5. We have also exploited the thickness dependenceof the coercive field to create arrays of pseudo spin valve(PSV) nanowires of width 185 nm of film structures (bottom totop) Ni80Fe20 (10 nm)/Cu(tCu nm)/Ni80Fe20 (80 nm) [99]. Thethickness of the Cu spacer layer, tCu, was varied from 2 to35 nm in order to investigate the effect of interlayer couplingon the overall magnetization reversal process. SEM imagesof the closely packed and isolated PSV nanowires are shownin figures 22(a) and (b). A schematic of the PSV structureis shown in figure 22(c). The respective insets show thetilted cross-sectional view of the PSV nanowire arrays withtCu = 35 nm. The nanowire arrays have uniform widthand inter-wire spacing as can be clearly seen from the SEMmicrographs.

Shown in figure 23 are the representative roomtemperature hysteresis loops for PSV nanowires as a functionof the spacer layer thickness, tCu, for fields applied alongthe easy axis (EA)of the wire. The hysteresis loops of PSVnanowires are markedly sensitive to both the edge-to-edge

Figure 22. Scanning electron micrograph of Ni80Fe20(10 nm)/Cu(35 nm)/Ni80Fe20 (80 nm) spin valve nanowire arrays with width185 nm, (a) edge-to-edge spacing = 35 nm and (b) edge-to-edgespacing = 185 nm and (c) schematic representation of the spinvalve nanowires. (From [99].)

spacing and the Cu spacer layer thickness. The evolutionin the magnetization reversal process as a function of thespacer layer thickness can be attributed to the different couplingmechanisms between the two magnetic layers comprising thePSV nanowire [100]. For tCu = 2 nm, FM coupling betweenthe two FM layers dominates the reversal process in the PSVnanowire arrays. In the FM coupling regime, the parallelalignment of the magnetization of the FM layers is favouredand the field at which the magnetizations of the FM layers arealigned anti-parallel is reduced. When the FM coupling is verystrong, the magnetizations of the layers simultaneously reversetheir orientation and a single rectangular loop is obtained. FortCu = 5 nm, a slight change in the M–H loop of the PSVnanowires is noted, due to the weakening of the FM exchangecoupling between the two FM layers. For the closely packedPSV nanowires, as shown in figure 23(b), the switching of the10 nm Ni80Fe20 (thin) layer is characterized by an almost abruptdrop in the magnetization, followed by the gradual decreasein magnetization until the 80 nm Ni80Fe20 layer reverses. Forthe isolated PSV nanowire arrays, the switching of the 10 nmNi80Fe20 (thin) layer is followed by a stable plateau-like regionin the M–H loop.

As tCu is increased to 20 nm, both sets of wire arrays clearlyexhibit two-step switching with the isolated nanowire arrays

19

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

135nm 185nm

(a)

-0.5

0

0.5

0.5

t Cu = 2 nm

(b)

-0.5

0

tCu = 5 nm

0

0.5

Mag

neti

zati

on (

Nor

m.)

(c)

-0.5

tCu = 20 nm

(d)

-0.5

0

0.5

HLateral

HBotom

-1-800 -400 0 400 800

Applied Field (Oe)

tCu = 35 nmHTop

Figure 23. (Colour online) Representative M–H loops forboth the closely packed and isolated nanowire arrays withNi80Fe20(10 nm)/Cu(tCu nm)/Ni80Fe20(80 nm) film as a functionof the Cu spacer layer thickness tCu. (From [99].)

displaying a slightly larger region of anti-parallel alignmentas shown in figure 23(c). Interestingly, when the spacer layerthickness becomes equal to the wire edge-to-edge spacing ofthe closely packed PSV nanowire arrays, tCu = s = 35 nm,a totally different M–H loop is obtained, as seen infigure 23(d). This significant change in the magnetizationbehaviour is attributed to the competition between thedipolar and interlayer magnetostatic coupling in thenanowires.

We have also investigated the reversal mechanism in anarray of alternating width nanowires. It is a well-known factthat the switching field of wire arrays of fixed film thickness

Figure 24. Scanning electron micrographs of 40 nm Ni80Fe20 thick;alternating nanowire arrays with (a) �w = 200 nm constituting ofwires w1 = 330 nm, w2 = 530 nm, (b) �w = 570 nm consisting ofwires w1 = 330 nm, w2 = 900 nm and (c) homogeneous widthnanowire array with width = 330 nm. The edge-to-edge spacing forall the nanowire arrays is maintained at 70 nm. (From [101].)

is highly sensitive to the wire width and it increases as thewire width is reduced. By exploiting the width dependenceof the coercivity, we have fabricated alternating nanowirearrays with unique magnetic properties [101]. Alternatingnanowires with differential widths �w = 200 nm (consistingof nanowires of width w1 = 330 nm, w2 = 530 m)and �w = 570 nm (consisting of nanowires of widthw1 = 330 nm, w2 = 900 nm) were fabricated on a siliconsubstrate using DUV lithography. A control experiment(reference nanowire) consisting of homogeneous nanowiresarrays of width w = 330 nm were also patterned using thesame technique. In order to ensure that the nanowires aremagnetosatically coupled the edge-to-edge spacing for all thenanowire arrays patterned was maintained at 70 nm. The SEMimages of the alternating and homogeneous width nanowirearrays are shown in figure 24. The large area view showswell-defined wires with uniform wire spacing and good edgedefinition.

20

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

1(a)

0.5

-0.5

0

Mag

net

izat

ion

(N

orm

.)

(b)

w = 200nm

-300 -200 -100 0 100 200 300

w = 200nm

w = 570nmw1 = w2 = 330nm

dM

/dH

(A

rb. U

nit

s)

Applied Field (Oe)

Figure 25. (Colour online) Magnetic hysteresis loops for the 70 nmthick Ni80Fe20 film for fields applied along the long axis (θ = 0◦);(b) Differentiated M–H loops, for the alternating nanowire arrays,�w = 200 nm and �w = 570 nm, and reference nanowire arrays.(From [101]).

Shown in figure 25(a) are the representative M–H loopsfor 70 nm thick Ni80Fe20 nanowire arrays for fields appliedalong the long (easy) axis of the wires. Both alternating widthnanowire arrays display a totally different M–H behaviourfrom that of the homogeneous width nanowire array. Thehomogeneous nanowire array with w1 = w2 = 330 nm, asexpected, displays an M–H loop with a coercivity of 170 Oe.For an alternating width nanowire array with �w = 200 nm,however, we observed a double step hysteresis loop. As theapplied field is reduced from positive saturation, a sharpdrop in magnetization within the field range of −30 Oe to−100 Oe was observed. Beyond this field, a gradual decreasein magnetization is observed up to an external field of −190 Oe.This is followed by an abrupt drop in magnetization leadingto negative saturation. A similar trend was observed foralternating width nanowires with �w = 570 nm, althoughthe switching fields are shifted to lower external fields due tothe contribution from the larger width wire.

The corresponding differentiated half M–H loop, forfields applied from positive to negative saturation, for the 70 nmthick Ni80Fe20 nanowire arrays is shown in figure 25(b). Forthe homogeneous wire, a broad base peak, with the maximumat an external field of −175 Oe, was observed. This value isconsistent with the coercivity obtained from the M–H loop.

As expected for �w = 200 nm and 570 nm, two peaks,corresponding to the switching of the two set of wires, w1 andw2, comprising the array, are seen. The first peak correspondsto the low field switching of the larger width wire w2, whilethe second peak corresponds to the high field switching of thesmaller wire width, w1. For �w = 200 nm, the switching ofw1 and w2 occurs at an external field of −205 Oe and −70 Oe,respectively. For �w = 570 nm, however, the switching of w1

and w2 is at −190 Oe and −25 Oe, respectively. As w1 is thesame for both alternating width nanowire arrays, the differencein the switching fields may be attributed to the effects of themagnetostatic coupling, due to the small inter-wire spacings = 70 nm, between the wires in the array which significantlyinfluences the reversal process. Collective spin wave modeshave also been observed in these structures using Brillouinlight scattering [102].

3.3. Magnetic dot arrays

We present the magnetic properties of an array of uniformlysized Co dots as a function of various geometrical parametersin this section. A phase boundary has been established betweenthe reversal mechanism dominated by single domain spinrotation and vortex mediated reversal [103]. The dot diameterwas varied from 150 to 250 nm while the pitch was fixedat 350 nm. Shown in figure 26(a) is the 3D atomic forcemicroscopy (AFM) image of the resist profile, showing anarray of holes before the metallization and the lift-off process.The corresponding AFM image of a 20 nm thick Co dotarray with a diameter of 250 nm after the lift-off is shown infigure 26(b). A scanning electron micrograph of the array isshown in figure 26(c). The nanostructures are very uniformand identical over the entire patterned area. The magneticproperties of the Co dot arrays were characterized using avibrating sample magnetometer(VSM).

Figure 27(a) shows the normalized M–H loops for the60 nm thick Co disc arrays. As the applied field is increasedfrom negative saturation, the saturation magnetizationis maintained until the applied field is increased toHn = −950 Oe, where a rapid loss of net magnetizationoccurs. This rapid decrease in net magnetization beforeremanence is typical of the nucleation process of magnetizationvortices [14]. As the applied field is increased beyond H1, themagnetization begins to decrease slowly until reaching zero atremanence. The magnetization then increases monotonicallywith the applied field. This linear increase is a characteristic ofthe propagation of the magnetization vortex from one side ofthe dot to the other. For such a hysteresis loop, the flux closureconfiguration is formed at remanence, where the magnetizationvortex is centred in the dot. This state eliminates the dipole–dipole interaction and lowers the energy of the system byminimizing the magnetostatic energy. As the applied fieldis further increased beyond H2, the magnetization increasesrapidly until saturation occurs at Ha = 1500 Oe, which canbe identified as the annihilation process of the magnetizationvortex. The switching field Hn, which indicates the onset ofvortex nucleation, is known as the nucleation field, while thesaturation field Ha, which indicates the termination of vortex

21

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

Figure 26. Three-dimensional atomic force micrograph of (a) the PR profile after exposure and development and (b) 20 nm thick Conanomagnetic dots with a diameter of 250 nm and a pitch of 300 nm. (c) Scanning electron microscope (SEM) image of a 20 nm thickCo nanomagnetic dot array. (From [103].)

annihilation, is termed the annihilation field. The fast butunabrupt nucleation and annihilation process is attributed tothe distribution of nucleation and annihilation fields in the dotarray [104].

Figure 27(b) shows the hysteresis loop for the referencefilm deposited under the same conditions. The reference filmshows a quasi-rectangular hysteresis loop with a coercivity of32 Oe and a squareness (Mr/Ms) of 0.88. Both the switchingfield and detailed features of the loop are different from theCo dot array. The magnetic behaviour in Co dots can thusbe attributed to the effect of the demagnetizing field. Thedots have a much larger in-plane demagnetizing factor thanthe reference film due to their smaller lateral size [105].We have studied the evolution of a magnetic vortex state insubmicrometre Co dots as a function of dot diameter andthickness. In our experiments, the dot thickness was variedfrom 5 to 90 nm, while the dot diameter was also varied from150 to 250 nm. We observed that the magnetic properties andthe reversal mechanism in the Co nanodots strongly dependon the thickness and diameter of Co dots. The experimentalresults are in good agreement with theoretical simulations. Inaddition, a non-zero remanent magnetization in the vortex-typehysteresis behaviour at the phase boundary was observed, dueto the presence of buckling states or a single domain stateat remanence. Direct observation of the magnetic state atremanence using MFM after applying a large field and thenreducing the field to zero was used to further corroborateour results. These results are in agreement with others

[106–110]. The stability of magnetic vortex states and singledomain states as well as the transition between them has alsobeen investigated by many authors [34,104,111]. A systematicand extensive investigation of the magnetic properties ofdiamond-shaped single and trilayer nanomagnets as a functionof both the film thickness and composition has been performed[112–114]. Phase diagrams for the various magnetizationreversal modes have been systematically established.

3.4. Magnetic antidot nanostructures

Antidot structures (the reverse of isolated nanostructures) formanother class of magnetic nanostructures in which arraysof holes are embedded into contiguous magnetic materials.Antidots are artificially engineered ‘defects’ in an otherwisecontinuous film. The question of how these defects affectthe macroscopic and microscopic magnetic properties of thecontinuous media is of considerable interest, and the switchingmechanism during the magnetization reversal process is animportant issue which is still being studied rigorously. It hasbeen found that the antidots can act as pinning centresinhibiting the movement of domain walls during magnetizationreversal [115]. The size and distribution of the antidotscan considerably influence the properties of the film suchas the coercive field, magnetic anisotropy and magnetizationreversal behaviour [116–118]. Microscopically, the presenceof ordered holes in a continuous film could also modify theintrinsic magnetic anisotropy of the film locally, inducing

22

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

Figure 27. (Color Online) Hysteresis loops from (a) a 60 nm thickCo nanomagnetic dot array and (b) a 60 nm thick reference film forfields applied along the in-plane EA. (From [103].)

well-defined periodic domain structures in the vicinity of theholes [119–122].

3.4.1. Magnetic anisotropy. The effect of antidot latticegeometry on the magnetic anisotropy of Ni80Fe20 films hasbeen investigated [123]. Shown in figures 28(a)–(c) are theSEM images for the resist dots forming square, honeycomband rhomboid arrays, respectively. An Ni80Fe20 film ofthickness 30 nm was then deposited by e-beam evaporation ata rate of 0.2 Å s−1. The final structure consists of Ni80Fe20

antidot arrays of diameter 250 nm and the centre-to-centrespacing between the nearest holes is 400 nm, as shown infigures 28(d)–(f ). The magnetic anisotropy distribution ofthe antidot arrays was measured using a VSM. The angularremanence magnetization measurement technique was usedto characterize the magnetic anisotropy. This was performedby first saturating the sample in a given in-plane direction,then reducing the field to zero before measuring the remanentmagnetization (Mr).

Shown in figure 29(a) is the normalized remanentmagnetization (squareness: Mr/Ms) curve of the unpatterned30 nm Ni80Fe20 film, which was deposited at the same time asthe antidot structures. The dots represent the experimental dataand the continuous line is the fitted curve. The unpatternedfilm exhibits a weak uniaxial anisotropy as expected. Forantidot arrays with a square lattice geometry, however, thereexists a well-defined four-fold (bi-axial) anisotropy with thehard axes along the edges of the square unit cell and the easyaxes along the diagonal direction, as shown in figure 29(b).

Figure 28. Scanning electron micrographs (SEM) of resist patternsfor (a) square, (b) honeycomb and (c) rhomboid lattice geometry.The corresponding SEM images of 30 nm-thick Ni80Fe20 antidotarrays after the lift-off process are shown in (d)–(f ) respectively.(From [123].)

The shape of the curve is well fitted by an offset | sin 2θ |function varying between 0.55 and 0.8, as shown by thecontinuous line. The observed periodicity of the anisotropyis because the sample is geometrically equivalent as the arrayis rotated for every 90◦. Shown in figure 29(a) is the magneticanisotropy for the honeycomb lattice geometry. A six-foldsymmetry with the easy and hard axes alternating at every 30◦

was observed. Similar to the case of square arrays, the hardaxes of the honeycomb geometry remain parallel to the edgesof the hexagonal unit cell. The anisotropy distribution for thehoneycomb lattice generally follows a | sin 3θ | variation, andthe squareness varies between 0.71 and 0.81.

The lattice arrangement of the rhomboid lattice is similarto the honeycomb lattice, as the unit cell can be taken asa hexagon cell of the honeycomb lattice embedded with anadditional hole in the centre. The introduction of this extrahole, however, markedly changes the anisotropy distributionof the arrays. As shown in figure 29(d), though a six-foldmagnetic anisotropy and similar squareness is observed, there

23

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

0.72Reference

HA

0.62

0.64

0.66

0.68

0.7 Film

HA

HAEA

EA90°

0.7

0.75

0.8Square

(a)

HA

EAHA

HA

EA

45°

0.55

0.6

0.65

0.8

Honeycomb(b)

Mr/M

s

HAEA EA

HAHAEA

0.72

0.74

0.76

0.78

HA

EA

HA

HA

HA

EA

EA EA

HA

HA EA

30°

0.76

0.78

0.8

(c)Rhombus

EA

EA

HA

EA

EA

HAEA

HA

30°

0.7

0.72

0.74

0 45 90 135 180 225 270 315 360(d)

EAEA

EA

HA HAEA HA

Field Orientation (θ)

Figure 29. (Colour online) The normalized remanent magnetization (squareness Mr/Ms) as a function of field orientation θ for (a)reference continuous film, (b) square lattice, (c) honeycomb lattice and (d) rhomboid lattice 30 nm thick Ni80Fe20 antidot arrays. The dottedtraces are experimental data, and the continuous lines were obtained from curve fitting. To the right of the curves are the EA and hard axis(HA) distribution of the respective lattice geometry. (From [123].)

is a 30◦ phase shift in the curve as compared with thehoneycomb lattice in figure 29(c), indicating a reversal of theeasy and hard axes. A detailed and systematic study of the fieldorientation dependence of the remanence has been presentedby Wang et al [123].

3.4.2. Magnetoresistance behaviour. Magnetotransportmeasurements have been shown to be a powerful and sensitivetechnique for mapping the magnetization reversal process incomplex magnetic structures [118]. In order to probe thetransport properties of the fabricated antidot array structures,electrical contacts were made on the pattern using standardoptical lithography, metallization and lift-off of Cr (100 Å)/Au(3000 Å). For MR measurements, a dc current of 1 mA waspassed along the x-direction, and the resistance was recordedautomatically as the in-plane magnetic field was swept. Shown

in figure 30(a) is the normalized longitudinal MR (LMR) curveof 25 nm thick Ni80Fe20 antidot arrays of a square lattice forfields applied along the direction of the sense current (θ = 0◦).The grey dots represent a loop with the field sweeping fromthe negative saturation field to the positive field. The darkdots are the results for the reverse field sweep. Sketches ofthe spin states of a unit cell at different field strengths, whichis inferred from the MR curve, are shown as insets. At afirst glance, the MR curve shows two distinct minima (one foroutward and the other for the return path), with a series ofbends signifying the switching process occurring in the arrays.At high field, all the spins in the antidot structure are primarilyaligned along the field direction, as shown in the schematicillustration A. With the initial reduction in field, a slight linearincrease in resistance is observed indicating that the local spinsare more aligned with the current density. This is because the

24

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

Figure 30. Normalized MR curve for the 25 nm Ni80Fe20 antidotarrays as a function of field orientation. The grey dots represent theloop with field sweeping from the negative direction to the positive,and the dark dots are the results as the field sweeps back. Theschematic illustrations for the spin states at different field valuesare shown as insets. The dashed lines indicate the positions wherediscontinuities in the MR curve occur. (From [118].)

current density is not exactly aligned with the magnetizationat saturation due to the geometrical confinement introducedby the holes. At a slightly lower field, the spins close to theholes start to align along the edges of the holes to reduce theassociated magnetostatic energy, as illustrated by spin state B.When the field is reduced further, a decrease in resistance isobserved followed by a kink at a field of 300 Oe as marked byposition a. This can be attributed to the continuous rotationof the spins as shown in B resulting in a deviation of the spinsfrom the local current density, which causes the initial decreasein resistance. Starting from position a, due to the high shapeanisotropy the local spins to the left and right of the holes startto rotate along the y direction, as illustrated by the inset C. Thedecrease in resistance becomes more gradual again at a field of238 Oe, as shown at position b, indicating the completion of theprevious spin rotation process. As the field is reduced to zero,the resistance is further reduced due to the spins at the cornersto the holes rotating close to 45◦ to the x-direction, causing alarger angle between the current density and magnetization, as

shown in D. This configuration minimizes the magnetostaticenergy and the net charges around the holes.

As the field changes sign and increases in magnitude, theresistance decreases continuously. At this field range, due tothe strong shape anisotropy imposed by the holes, the reversedomain could not be formed in the arrays initially, and theresistance decrease may still be attributed to the continualrotation of the diagonal spins. The spin states between theremanence and position c on the MR curve are reversible uponthe removal of the applied field. However, beyond position c,irreversible switching to the reverse magnetization state occurs,as shown by spin state d. The increase in resistance soonbecomes gradual after position d, as the spins at the cornerof the holes start to become pinned along the negative x axis.The completion of this process changes the deflection of thecurve at a field of −220 Oe, as shown by position e. A furtherincrease in the reverse field magnitude causes the spins at theleft and right sides of the holes to rotate to the field directionas shown in spin state F, and the kink at position f shows thatthis process is over. A similar linear decrease with field isobserved again, as the field approaches the reverse saturationfield.

The corresponding transverse MR (TMR) curve isshown in figure 30(b), where the in-plane field is appliedperpendicular to the sense current (θ = 90◦). The curveconsists of a near-parabolic trace with extensive tails at highfield and two distinctive peaks at low field. At saturation,the magnetization is perpendicular to the current, resulting ina low resistance, as shown in spin state H. As the externalfield is reduced, first the magnetization above and below theholes starts to rotate along the current direction causing anoverall increase in resistance, as shown in spin state I. Therotation of the spins diagonal to the holes, as shown in spinstate J, continues to increase the resistance value until at areverse field irreversible switching in magnetization occursand reaches spin state K. As the field increases in the reversedirection, the spins diagonal to the hole are pinned along thefield, leading to a further decrease in resistance, as shown bystate L. This decrease starts to become stable after the spinsbelow and above the holes are perpendicular to the current inthe opposite direction, as sketched in spin state M. From theabove description, it is not difficult to see that the magnetizationreversal process in the TMR measurement is very similarto that of LMR. Due to the symmetry of the square antidotlattice, the TMR measurement here is equivalent to keepingthe field orientation as in the LMR measurement and thenrotating the current direction by 90◦. Shown in figure 30(c)are the MR curves when the in-plane field is at 45◦ to the sensecurrent. A detailed investigation of the reversal mechanismas a function of the various geometrical parameters includingantidot thickness is described in [118].

3.4.3. Multilayer antidot arrays. While a lot of research hasbeen focused on single layer FM antidot nanostructures, to datevery little data are available on their multilayer counterparts[124, 125]. The spin-dependent transport mechanism in themultilayer structure is dramatically different from the singlelayer structure, as the magnetoresistance signals are strongly

25

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

Ni80Fe20 (30 nm)

Cu ( tCu: 2 nm~30 nm)y

1: tCu = 2 nm: tCu = 5 nm: t = 10 nm

Co (30 nm)x(a)

(b)

(c)

0

0.5: tCu = 10 nm: tCu = 15 nm: tCu = 30 nm

Co Ni80Fe20

-1

-0.5

-400 -300 -200 -100 0 100 200 300 400

Mag

netiz

atio

n (N

orm

)

(b)

H

-1

-0.5

0

0.5

1

-400 -200 0 200 400

2

o%

0.15

0.25

-400 -300 -200 -100 0 100 200 300 400

Field (Oe)

1

MR

Rat

io%

Field (Oe)

MR

R

atio

0.05-400 -200 0 200 400

0

0.5

1.5

(c)

Field (Oe)

Figure 31. (Color online) (a) The schematic illustration of thecomposition of multilayer antidot arrays. (b) Representative M–Hloops of Co (30 nm)/Cu (tCu nm)/Ni80Fe20 (30 nm) antidotnanostructures as a function of the Cu spacer layer thickness (tCu)for fields applied along the EA. The M–H loops from single layerNi80Fe20 ( ) and Co (+) antidot nanostructures and the interpolatedloop assuming no coupling between the two FM layers (�) areshown in the inset. (c) Normalized MR curves as a function of tCu

for the field applied along the EA of the arrays as similarly markedin the legend in (b). The MR curve for tCu = 2 nm is reproduced inthe inset together with the corresponding MR curve for the singlelayer Ni80Fe20 antidot arrays. (From [122].)

influenced by the relative spin orientation between the adjacentFM layers, which gives rise to giant MR [126]. For thegiant magnetoresistance (GMR) effect to occur in multilayersystems, usually two FM layers separated by a non-magneticconducting spacer layer are needed. The resistivity is amaximum when the directions of the magnetization in thetwo FM layers are anti-parallel and a minimum when theyare parallel. A systematic investigation was conducted on themagnetic and transport properties of PSV antidot structuresconsisting of Co (30 nm)/Cu (tCu nm)/Ni80Fe20 (30 nm) [122].The antidot arrays are of square lattice geometry and the lateraldimensions are the same as those shown in figure 28(d). Thespacer thickness, tCu, was varied from 2 to 30 nm, as illustratedin figure 31(a).

Shown in figure 31(b) are representative hysteresis loopsfor fields applied along the EA of the square lattice antidotarrays as a function of the Cu spacer layer thickness. Weobserved that the loops are strongly dependent on the Cu spacerlayer thickness due to the different coupling mechanisms thatmediate the magnetization reversal processes. For tCu = 2 nm,the two FM layers switch nearly in unison with a sharptransition, having a similar shape to the response from a singlelayer Co or Ni80Fe20 antidot film shown in the inset. Thisis attributed to the strong FM exchange coupling through theCu spacer layer. As tCu increases to 5 nm, the strength ofexchange coupling weakens, and the antidot film switchesto the reverse magnetization through a two-step switching,which is initiated by the switching of the soft layer (Ni80Fe20)followed by the hard layer (Co). A dramatic decrease in theonset of the switching field of the Ni80Fe20 layer from 170 Oe(tCu = 2 nm) to 108 Oe (tCu = 5 nm) is observed. Thisis attributed to the influence of the magnetostatic couplingbetween the two FM layers due to the stray field from the edgeof the holes, as the interlayer magnetostatic interaction favoursan antiferromagnetic order. For tCu = 10 nm, the antidotfilm is effectively exchange decoupled due to the short-rangenature of the exchange interaction, and the onset switchingfield of the Ni80Fe20 layer is reduced again to 62 Oe due tomagnetostatic coupling. A further increase in tCu to 15 nmweakens the magnetostatic coupling and causes an increasein the onset switching field to 82 Oe. For tCu = 30 nm, thereversal of the two layers is almost independent as evidentby the two sharp transitions separated by a relatively stableplateau, which corresponds to an anti-parallel spin alignmentbetween the FM layers, as illustrated by the spin states of boththe Co and Ni80Fe20 layers. At this thickness, the shape of thehysteresis loop resembles that of the interpolated loop shownin the inset, where no coupling effect is assumed.

In order to understand the transport mechanism inthe multilayer square lattice antidot nanostructures, MRmeasurements were performed. Shown in figure 31(c) are therepresentative MR curves for fields applied along the EA as afunction of the Cu spacer layer thickness corresponding to theM–H loops given in (b). For tCu = 2 nm, the synchronizedreversal of the two FM layers due to strong exchange couplingleads to a typical anisotropic MR (AMR) response with amaximum MR ratio of about 0.14%. The shape of the curveis similar to the single layer 30 nm thick Ni80Fe20 antidot film,as reproduced in the inset of the figure. For tCu > 2 nm,however, the MR response is governed by the GMR effectat low field, and the GMR ratio is significantly dependenton the spacer layer thickness. The highest MR ratio of1.8% occurs for tCu = 5 nm and it gradually decreases forlarger tCu. The strong magnetostatic coupling between the Coand Ni80Fe20 layers due to the magnetic charges in the vicinityof the holes help stabilize the anti-parallel relative alignmentand thus enhance the GMR effect. One of the reasons forthe decrease in the GMR ratio is the current shunting effectby the Cu layer. In addition, the variation in transmissionwithout scattering of polarized electrons through the spacerlayer drops with increasing tCu. Another noticeable differenceamong the curves is the sharpness of the peaks, which signifies

26

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

the stiffness of holding anti-parallel spin alignment during themagnetization reversal processes. As the spacer layer becomesthicker, the peaks become wider and flatter.

4. Conclusions

We have presented a detailed technique for fabricating largearea magnetic nanostructures based on DUV lithography at248 nm exposure wavelength. Using a combination of theresolution enhancement method including alternating phaseshift and chrome-less PSMs, arrays of FM nanostructureswith lateral dimensions below the conventional resolutionlimit have been fabricated. A systematic and extensiveinvestigation of the magnetic properties and magnetizationreversal processes in arrays of magnetic nanostructureshas been presented. We observed in magnetic rings thatthe transitions between different equilibrium states arestrongly dependent on magnetostatic interactions. In magneticnanowires, a transition from coherent rotation to the curlingmode of reversal as the thickness of the wire is varied for fixedlateral dimensions was observed. We also found that in antidotnanostructures the magnetic anisotropy can be controlled byengineering the lattice geometry.

Acknowledgments

The authors would like to thank Dr S Goolaup, Dr C C Wang,W Jun, Y Huang, and Dr X S Gao from the National Universityof Singapore for their contributions to the experimentalwork. We would like to thank Professor John Chapmanof the University of Glasgow, Scotland, UK for stimulatingdiscussions. The authors would also like to acknowledge thecollaborations of Dr Gianluca Gubbiotti of the CNISM in Italyand Professor Caroline Ross Of MIT, Cambridge, USA. Thiswork was supported by the Ministry of Education, Singapore,under Grant No R-263-000-437-112 and the Singapore-MITAlliance. The authors are grateful to Dr D Tripathy forproofreading the manuscript.

References

[1] Cowburn R P 2000 J. Phys. D: Appl. Phys. 33 R1–6[2] Ross C 2001 Annu. Rev. Mater. Res. 31 203–35[3] Moser A, Takano K, Margulies D T, Albrecht M, Sonobe Y,

Ikeda Y, Sun S and Fullerton E 2002 J. Phys. D: Appl.Phys. 35 157–67

[4] Terris B D, Thomson T and Hu G 2007 Microsyst. Technol.13 189–96

[5] Tehrani S, Chen E, Durlam M, DeHerrera M, Slaughter J M,Shi J and Kerszykowski G 1999 J. Appl. Phys. 85 5822–7

[6] Slaughter J M, Dave R W, DeHerrera M, Durlam M,Engel B N, Janesky J, Rizzo N D and Tehrani S 2002J. Supercond. 15 19–25

[7] Tehrani S et al 2003 Proc. IEEE 91 703–14[8] Cowburn R P and Welland M E 2000 Science 287 1466–8[9] Allwood D A, Xiong G, Cooke M D, Faulkner C C,

Atkinson D, Vernier N and Cowburn R P 2002 Science296 2003–6

[10] Csaba G, Imre A, Bernstein G H, Porod W and Metlushko V2002 IEEE Trans. Nanotechnol. 1 209–13

[11] Imre A, Csaba G, Ji L, Orlov A, Bernstein G H and Porod W2006 Science 311 205–8

[12] Chou S Y, Krauss P R, Wei M S and Fischer P B 1995Scr. Metall. Mater. 33 1537–44

[13] Cowburn R P, Adeyeye A O and Welland M E 1998Phys. Rev. Lett. 81 5414–7

[14] Cowburn R P, Koltsov D K, Adeyeye A O, Welland M E andTricker D M 1999 Phys. Rev. Lett. 83 1042–5

[15] Kirk K J, Chapman J N, McVitie S, Aitchison P R andWilkinson C D W 1999 Appl. Phys. Lett. 75 3683–5

[16] Adeyeye A O and Welland M E 2002 Appl. Phys. Lett.80 2344–6

[17] Castano F J, Ross C A and Eilez A 2003 J. Phys. D: Appl.Phys. 36 2031–5

[18] Wassermann E F, Thielen M, Kirsch S, Pollmann A,Weinforth H and Carl A 1998 J. Appl. Phys. 83 1753–7

[19] Farhoud M, Ferrera J, Lochtefeld A J, Murphy T E,Schattenburg M L, Carter J, Ross C A and Smith H I 1999J. Vac. Sci. Technol. B 17 3182–5

[20] Zheng M, Yu M, Liu Y, Skomski R, Liou S H, Sellmyer D J,Petryakov V N, Verevkin Y K, Polushkin N I andSalashchenko N N 2001 Appl. Phys. Lett. 79 2606–8

[21] Vogeli B, Smith H I, Castano F J, Haratani S, Hao Y W andRoss C A 2001 J. Vac. Sci. Technol. B 19 2753–6

[22] Heyderman L J, Solak H H, David C, Atkinson D,Cowburn R P and Nolting F 2004 Appl. Phys. Lett.85 4989–91

[23] Moralejo S, Castano F J, Redondo C, Ji R, Nielsch K,Ross C A and Castano F 2007 J. Magn. Magn. Mater.316 E44–E7

[24] Natali M, Lebib A, Cambril E, Chen Y, Prejbeanu I L andOunadjela K 2001 J. Vac. Sci. Technol. B 19 2779–83

[25] Moritz J, Landis S, Toussaint J C, Bayle-Guillemaud P,Rodmacq B, Casali G, Lebib A, Chen Y, Nozieres J P andDieny B 2002 IEEE Trans. Magn. 38 1731–6

[26] Wei H, Wilson R J, Liang X, Han S and Wang S X 2007J. Vac. Sci. Technol. A 25 1294–7

[27] Asakawa K, Hiraoka T, Hieda H, Sakurai M and Kamata Y2002 J. Photopolym. Sci. Technol. 15 465–70

[28] Cheng J Y, Ross C A, Thomas E L, Smith H I and Vancso G J2002 Appl. Phys. Lett. 81 3657–9

[29] Cheng J Y, Mayes A M and Ross C A 2004 Nature Mater.3 823–8

[30] Kubo T, Parker J S, Hillmyer M A and Leighton C 2007Appl. Phys. Lett. 90 233113

[31] Chappert C et al 1998 Science 280 1919–22[32] Fassbender J, Ravelosona D and Samson Y 2004 J. Phys. D:

Appl. Phys. 37 179–96[33] Devolder T, Chappert C, Chen Y, Cambril E, Launois H,

Bernas H, Ferre J and Jamet J P 1999 J. Vac. Sci.Technol. B 17 3177–81

[34] Martin J I, Nogues J, Liu K, Vicent J L and Schuller I K 2003J. Magn. Magn. Mater. 256 449–501

[35] Lodder J C 2004 J. Magn. Magn. Mater. 272–276 1692–7[36] Singh N, Goolaup S and Adeyeye A O 2004 Nanotechnology

15 1539–44[37] Bruning J H 1997 Proc. SPIE 3049 14–27[38] Sohn Y J, Barnes B M, Howard L, Silver R M, Attota R and

Stocker M T 2006 Proc. SPIE 6152 61523[39] Liebmann L W 2001 Proc. SPIE 4409 23–32[40] Ulrich W, Beiersdorfer S and Mann H J 2000 Proc. SPIE

4146 13–24[41] Brunner T A 1991 Proc. SPIE 1466 297–308[42] Brunner T A 2003 J. Vac. Sci. Technol. B 21 2632–7[43] Ronse K, Debeeck M O and Vandenhove L 1994 J. Vac. Sci.

Technol. B 12 589–600[44] Mack C A 2001 Microlithogr. World 10 20–2[45] Okazaki S 1991 J. Vac. Sci. Technol. B 9 2829–33

27

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

[46] Saito Y, Kawada S, Yamamoto T, Hayashi A, Isao A andTokoro Y 1994 Proc. SPIE 2254 60–3

[47] Smith B W, Alam Z, Butt S, Kurinec S, Lane R Land Arthur G 1997 Microelectron. Eng. 35 201–4

[48] Levenson M D, Viswanathan N S and Simpson R A1982 IEEE Trans. Electron Devices ED-29 1828–36

[49] Toh K K H, Dao G, Singh R and Gaw H 1991 Proc. SPIE1496 27–53

[50] Lin C-M 2002 Proc. SPIE 4754 437–43[51] Pierrat C, Cote M and Patterson K 2002 Proc. SPIE

4691 325–35[52] Gerold D J, Petersen J S and Levenson M D 2001 Proc. SPIE

4346 729–43[53] Gordon R L, Mack C A and Petersen J S 1998 Proc. SPIE

3546 606–16[54] Song D, Guo B and Wang X 1999 Res. Prog. SSE 19 344–52[55] Mack C A 2003 Microlithogr. World 12 16–8[56] Lin B J 2006 Microelectron. Eng. 83 604–13[57] Meiling H, Banine V, Kurz P, Blum B, Heerens G J and

Harned N 2003 Proc. SPIE 5037 24–35[58] Lin B J 2004 Proc. SPIE 5377 46–67[59] Zavecz T E 2006 Proc. SPIE 6152 61522[60] Mack C A 2004 Microlithogr. World 13 20–1[61] Singh N, Jagar S, Mehta S S, Roy M M, Kumar R and

Balasubramanian N 2004 Proc. SPIE 5379 260–7[62] Singh N, Sun H Q, Foo W H, Mehta S S, Kumar R,

Adeyeye A O, Suda H, Kubota T, Kimura Y andKinoshita H 2006 J. Vac. Sci. Technol. B 24 2326–30

[63] Terasawa T, Hasegawa N, Imai A and Okazaki S 1995Japan. J. Appl. Phys., Part 1 34 6578–83

[64] Levenson M D, Ebihara T and Yamachika M 2001 Proc.SPIE 4346 817–26

[65] Levenson M D, Petersen J S, Gerold D G and Mack C A2001 Proc. SPIE 4186 395–404

[66] Hotta S, Hayano K, Kakuta K and Hasegawa N 2004 Proc.SPIE 5377 545–54

[67] Singh N, Roy M M, Mehta S S and Adeyeye A O 2005J. Vac. Sci. Technol. B 23 540–6

[68] Mack C A 1986 Appl. Opt. 25 1958–61[69] Martin B, Tighe T and Arthur G 2001 Proc. SPIE

4344 616–22[70] Arthur G, Martin B and Wallace C 2001 Proc. SPIE

4344 644–52[71] Brunner T A, Gabor A H, Wu C J and Chen N 2001 Proc.

SPIE 4346 1050–7[72] Kumar R, Wong T K S and Singh N 2004 Microelectron.

Eng. 71 125–32[73] Uchiyama T, Shioiri S, Hashimoto T and Kasama K 1995

Japan. J. Appl.Phys. Part 1 34 6560–4[74] Schiltz A and Schiavone P 2000 Opt. Eng. 39 776–86[75] Mack C A 2005 Microlithogr. World 14 12–4[76] Wang C C 2006 PhD Thesis National University of

Singapore[77] Zhu J G, Zheng Y F and Prinz G A 2000 J. Appl. Phys.

87 6668–73[78] Klaui M, Rothman J, Lopez-Diaz L, Vaz C A F,

Bland J A C and Cui Z 2001 Appl. Phys. Lett.78 3268–70

[79] Li S P, Peyrade D, Natali M, Lebib A, Chen Y, Ebels U,Buda L D and Ounadjela K 2001 Phys. Rev. Lett.86 1102–5

[80] Steiner M and Nitta J 2004 Appl. Phys. Lett. 84 939–41[81] Castano F J, Ross C A, Frandsen C, Eilez A, Gil D,

Smith H I, Redjdal M and Humphrey F B 2003Phys. Rev. B 67 184425

[82] Park M H, Hong Y K, Choi B C, Donahue M J, Han H andGee S H 2006 Phys. Rev. B 73 094424

[83] Adeyeye A O, Singh N and Goolaup S 2005 J. Appl. Phys.98 094301

[84] Vavassori P, Grimsditch M, Novosad V, Metlushko V andIlic B 2003 Phys. Rev. B 67 134429

[85] McMichael R and Dohbahue M http:/math.nist.gov/oommf[86] Adeyeye A O, Goolaup S, Singh N, Wang C C, Gao X S,

Ross C A, Jung W and Castano F J 2007 J. Phys. D: Appl.Phys. 40 6479–83

[87] Wang J, Adeyeye A O and Singh N 2005 Appl. Phys. Lett.87 262508

[88] Castano F J, Ross C A, Eilez A, Jung W and Frandsen C2004 Phys. Rev. B 69 144421

[89] Klaui M, Vaz C A F, Bland J A C, Sinnecker E,Guimaraes A P, Wernsdorfer W, Faini G, Cambril E,Heyderman L J and David C 2004 Appl. Phys. Lett.84 951–3

[90] Klaui M, Vaz C A F, Bland J A C and Heyderman L J 2005Appl. Phys. Lett. 86 032504

[91] Miyawaki T, Toyoda K, Kohda M, Fujita A and Nitta J 2006Appl. Phys. Lett. 89 122508

[92] Rothman J, Klaui M, Lopez-Diaz L, Vaz C A F, Bleloch A,Bland J A C, Cui Z and Speaks R 2001 Phys. Rev. Lett.86 1098–101

[93] Saitoh E, Kawabata M, Harii K, Miyajima H and Yamaoka T2004 J. Appl. Phys. 95 1986–8

[94] Singh N, Goolaup S, Tan W, Adeyeye A O andBalasubramaniam N 2007 Phys. Rev. B 75 104407

[95] Adeyeye A O, Bland J A C, Daboo C, Lee J, Ebels U andAhmed H 1996 J. Appl. Phys. 79 6120–2

[96] Goolaup S, Singh N, Adeyeye A O, Ng V and Jalil M B A2005 Eur. Phys. J. B 44 259–64

[97] Goolaup S, Singh N and Adeyeye A O 2005 IEEE Trans.Nanotechnol. 4 523–6

[98] Gubbiotti G, Tacchi S, Carlotti G, Vavassori P, Singh N,Goolaup S, Adeyeye A O, Stashkevich A and Kostylev M2005 Phys. Rev. B 72 224413

[99] Goolaup S, Adeyeye A O and Singh N 2006 J. Appl. Phys.100 114301

[100] Castano F J, Hao Y, Ross C A, Vogeli B, Smith H I andHaratani S 2002 J. Appl. Phys. 91 7317–9

[101] Goolaup S, Adeyeye A O, Singh N and Gubbiotti G 2007Phys. Rev. B 75 144430

[102] Gubbiotti G, Tacchi S, Carlotti G, Singh N, Goolaup S,Adeyeye A O and Kostylev M 2007 Appl. Phys. Lett.90 092503

[103] Huang Y S, Adeyeye A O and Singh N 2005 J. Phys.:Condens. Matter 17 3931-41

[104] Lebib A, Li S P, Natali M and Chen Y 2001 J. Appl. Phys.89 3892–6

[105] Osborn J A 1945 Phys. Rev. 67 351[106] Shinjo T, Okuno T, Hassdorf R, Shigeto K and Ono T 2000

Science 289 930–2[107] Kirk K J, Scheinfein M R, Chapman J N, McVitie S,

Gillies M F, Ward B R and Tennant J G 2001 J. Phys. D:Appl. Phys. 34 160–6

[108] Natali M, Prejbeanu I L, Lebib A, Buda L D, Ounadjela Kand Chen Y 2002 Phys. Rev. Lett. 88 157203

[109] Schneider M, Hoffmann H, Otto S, Haug T and Zweck J2002 J. Appl. Phys. 92 1466–72

[110] Vavassori P, Zaluzec N, Metlushko V, Novosad V, Ilic B andGrimsditch M 2004 Phys. Rev. B 69 214404

[111] Kirk K J, Chapman J N and Wilkinson C D W 1999 J. Appl.Phys. 85 5237–42

[112] Goolaup S, Adeyeye A O and Singh N 2005 J. Phys. D: Appl.Phys. 38 2749-54

[113] Goolaup S, Adeyeye A O and Singh N 2006 Phys. Rev. B73 104444

[114] Goolaup S, Adeyeye A O and Singh N 2005 J. Appl. Phys.98 084318

[115] Cowburn R P, Adeyeye A O and Bland J A C 1997 J. Magn.Magn. Mater. 173 193–201

28

J. Phys. D: Appl. Phys. 41 (2008) 153001 Topical Review

[116] Adeyeye A O, Bland J A C and Daboo C 1997 Appl. Phys.Lett. 70 3164–6

[117] Welp U, Vlasko-Vlasov V K, Crabtree G W, Thompson C,Metlushko V and Ilic B 2001 Appl. Phys. Lett.79 1315–7

[118] Wang C C, Adeyeye A O, Singh N, Huang Y S and Wu Y H2005 Phys. Rev. B 72 174426

[119] Yu C T, Jiang H, Shen L, Flanders P J and Mankey G J 2000J. Appl. Phys. 87 6322–4

[120] Guedes I, Zaluzec N J, Grimsditch M, Metlushko V,Vavassori P, Ilic B, Neuzil P and Kumar R 2000Phys. Rev. B 62 11719–24

[121] Toporov A Y, Langford R M and Petford-Long A K 2000Appl. Phys. Lett. 77 3063-5

[122] Wang C C, Adeyeye A O and Singh N 2006 Appl. Phys. Lett.88 222506

[123] Wang C C, Adeyeye A O and Singh N 2006 Nanotechnology17 1629–36

[124] Castano F J, Nielsch K, Ross C A, Robinson J W A andKrishnan R 2004 Appl. Phys. Lett. 85 2872–4

[125] Hylton T L, Parker M A, Coffey K R, Howard J K, Fontana Rand Tsang C 1995 Appl. Phys. Lett. 67 1154–6

[126] Tsymbal E Y and Pettifor D G 2001 Solid State Phys.56 113–237

29