Flip flop (maria hanifah 14708251105 & oky ristya trisnawati-14708251020)

45
Flip- Flop Oleh: Oky Ristya Trisnawati (14708251020) Maria Hanifah (14708251105) PROGRAM STUDI PENDIDIKAN SAINS PROGRAM PASCASARJANA UNIVERSITAS NEGERI YOGYAKARTA

Transcript of Flip flop (maria hanifah 14708251105 & oky ristya trisnawati-14708251020)

Flip-FlopOleh:Oky Ristya Trisnawati (14708251020)Maria Hanifah (14708251105)

PROGRAM STUDI PENDIDIKAN SAINS

PROGRAM PASCASARJANA UNIVERSITAS NEGERI

YOGYAKARTA

Flip-flop adalah rangkaian digital yang digunakan untuk

menyimpan satu bit secara semi permanen sampai ada

suatu perintah untuk menghapus atau mengganti isi dari bit

yang disimpan. Selain itu, flip-flop merupakan rangkaian

digital yang memiliki dua keadaan stabil dan dapat

menyimpan informasi sebesar 1 bit data biner yang

dinyatakan dalam sistem biner yaitu 0 atau 1.

Prinsip dasar dari flip-flop adalah suatu komponen

elektronika dasar seperti transistor, resistor dan dioda yang

di rangkai menjadi suatu gerbang logika yang dapat bekerja

secara sekuensial.

Flip-flop disebut juga sebagai latch karena flip-flop jika

diberi suatu informasi atau sinyal maka informasi atau

sinyal tersebut akan terkunci didalamnya. Flip-flop juga

termasuk keluarga multivibrator bistabil, yaitu rangkaian

elektronik yang memiliki dua keadaan stabil dan pada

keluarannya dihubungkan kembali pada salah satu

masukannya sebagai umpan balik.

Flip-flop adalah rangkaian yang mempunyai fungsi

pengingat (memory). Artinya rangkaian ini mampu

melakukan proses penyimpanan data sesuai dengan

kombinasi masukan yang diberikan kepadanya. Data

yang tersimpan dapat dikeluarkan sesuai dengan

kombinasi masukan yang diberikan.

Setiap flip-flop dapat menyimpan satu bit (binary

digit) informasi, baik dalam bentuk sebenarnya

maupun bentuk komplemennya. Ada beberapa macam

flip-flop, yaitu flip-flop R-S, flip-flop T, flip-flop J-K, dan

flip-flop D.

Rangkaian analog Flip Flop

A. Fungsi flip-flop secara umum

1. penyimpan data informasi 1 bit biner

2. mencacah pulsa

3. Menahan atau mengingat pulsa trigger

4. menyerempakkan operasi aritmatika

5. menghitung detak dan untuk

mengsinkronisasikan input sinyal waktu variabel

untuk beberapa sinyal waktu yang direferensi

B. Macam-Macam Flip Flop

1. Flip-flop RS atau SR (Set-Reset) merupakan dasar dari flip-flop. Flip-flop ini mempunyai 2 masukan: satu disebut S (SET) yang dipakai untuk menyetel (membuat keluaran flip-flop berkeadaan 1) dan yang lain disebut R (RESET) yang dipakai untuk me-reset (membuat keluaran berkeadaan 0) dan mempunyai 2 jalan keluar Q dan Qnot (Q atasnya digaris). 

2. CRS Flip-flop adalah clocked RS-FF yang dilengkapi dengan sebuah terminal pulsa clock

3. Flip-flop D merupakan salah satu jenis flip-flop yang dibangun dengan menggunakan flip-flop S-R. Perbedaannya dengan flip-flop S-R terletak pada inputan R, pada D Flip-flop inputan R terlebih dahulu diberi gerbang NOT, maka setiap input yang diumpankan ke D akan memberikan keadaan yang berbeda pada input S-R, dengan demikian hanya akan terdapat dua keadaan S dan R yairu S=0 dan R=1 atau S=1 dan R=0.

4. Flip-flop JK merupakan rangkaian flip-flop yang dibangun dari rangkaian dasar FF SR dengan menambahkan dua gerbang AND pada masukan R dan S.

5. Flip-flop T atau flip-flop toggle merupakan rangkaian flip-flop yang dibangun dengan menggunakan flip-flop J-K yang kedua inputnya (masukannya) dihubungkan menjadi satu. sehingga hanya ada satu jalan masuk.

1.Flip-flop SR Flip-flop RS atau SR (Set-Reset) merupakan dasar dari

flip-flop jenis lain. Flip-flop RS yaitu rangkaian Flip-Flop yang

mempunyai 2 jalan keluar Q dan . Selain itu, RS-FF adalah

flip-flop dasar yang memiliki dua masukan yaitu R (Reset)

yang dipakai untuk me-reset yaitu membuat keluaran

berkeadaan 0 dan S (Set) yang dipakai untuk menyetel

yaitu membuat keluaran flip-flop berkeadaan 1. Simbol-

simbol yang ada pada jalan keluar selalu berlawanan satu

dengan yang lain. Bila S diberi logika 1 dan R diberi logika

0, maka output Q akan berada pada logika 0 dan pada

logika 1. Bila R diberi logika 1 dan S diberi logika 0 maka

keadaan output akan berubah menjadi Q berada pada logik

1 dan pada logika 0. Flip-flop RS dapat dibentuk dari dua

gerbang NOR atau dua gerbang NAND.

Rangkaian RS flip flop NAND Tabel Kebenaran RS flip flop NAND

Rangkaian RS flip flop NOR Tabel Kebenaran RS flip flop NOR

Contoh Rangakaian Flip flop

Rangkaian RS flip flop NAND Tabel Kebenaran RS flip flop NAND

Mode Operasi Masukkan Keluaran

S R Q

Larangan 0 0 1 1

Set 0 1 1 0

Reset 1 0 0 1

Tetap 1 1 Tidak berubah

Misalnya Gerbang NAND

0

0

1

1

1

0

Flip flop RS ini

memiliki

masukkan

RENDAH

Simbol-simbol yang ada pada jalan keluar selalu

berlawanan satu dengan yang lain. Bila S diberi logika 1

dan R diberi logika 0, maka output Q akan berada pada

logika 0 dan pada logika 1. Bila R diberi logika 1 dan S

diberi logika 0 maka keadaan output akan berubah

menjadi Q berada pada logik 1 dan pada logika 0. Sifat

paling penting dari flip-flop adalah bahwa sistem ini

dapat menempati salah satu dari dua keadaan stabil

yaitu stabil I diperoleh saat Q =1 dan = 0, stabil ke II

diperoleh saat Q=0 dan = 1

Tabel Kebenaran flip-flop RS pada keadaan awal dan akhir

InputOutput

Keterangan

Awal Akhir

S R Qn n Qn+1 n+1

0 0 0 1 0 1 Mengingat (memory)0 0 1 0 1 0

0 1 0 1 0 1Reset

0 1 1 0 0 1

1 0 0 1 1 0Set

1 0 1 0 1 0

1 1 0 1 1 1Terlarang

1 1 1 0 1 1

Yang dimaksud kondisi terlarang yaitu keadaaan yang tidak diperbolehkan

kondisi output Q sama dengan yaitu pada saat S=0 dan R=0. Kondisi set berarti Q

jadi 1 dan Reset Q menjadi 0. Keadaan ini dinamakan keadaan NORMAL. kondisi

memori yaitu saat S=1 dan R=1, output Q dan   akan menghasilkan perbedaan

yaitu jika Q=0 maka  =1 atau sebaliknya jika Q=1 maka   =0

Mengeset FF berarti membuat keluaran Q = 1 dan mereset FF berarti membuat keluaran Q = 0 dari kondisi stabil/ tak berubah. Mengeset FF dari gerbang NAND dapat dilakukan dengan membuat S = 0 dan mereset dilakukan dengan membuat R = 0. Sedangkan mengeset FF dari gerbang NOR dapat dilakukan dengan membuat S = 1 dan mereset dengan memberi nilai R = 1. Gambar berikut ini melukiskan bentuk keluaran dari FF SR dengan menggunakan gerbang NAND

Sinyal keluaran pada FF SR

Konsep Flip-flop RS yang harus diingat adalah sebagai

berikut:

1. R dan S keduanya rendah berarti keluaran y tetap

berada pada keadaan terakhirnya secara tak

terbatas akibat adanya aksi penggrendelan internal.

2. Masukan S yang tinggi mengeset keluaran y ke-1,

kecuali jika keluaran ini memang telah berada pada

keadaan tinggi. Dalam hal ini keluaran tidak

berubah, walaupun masukan S kembali ke keadaan

rendah.

3. Masukan R yang tinggi mereset keluaran y ke-0,

kecuali jika keluaran ini memang telah rendah.

Keluaran y selanjutnya tetap pada keadaan rendah,

walaupun masukan R kembali ke keadaan rendah.

4. Memberikan R dan S keduanya tinggi pada saat

yang sama adalah terlarang karena merupakan

pertentangan.

2.Flip -flop CRS

CRS Flip-flop adalah clocked RS-FF yang dilengkapi dengan sebuah terminal pulsa clock. Pulsa clock ini berfungsi mengatur keadaan Set dan Reset. Bila pulsa clock berlogik 0, maka perubahan logik pada input R dan S tidak akan mengakibatkan perubahan pada output Q dan Qnot. Akan tetapi apabila pulsa clock berlogik 1, maka perubahan pada input R dan S dapat mengakibatkan perubahan pada output Q dan Q not. 

Output  dari  flip-flop tidak akan berubah selama clock pulsanya 0 meskipun  terjadi   perubahan   pada   inputnya.   Output   flip-flop   hanya   akan   be rubah  sesuai dengan perubahan inputnya jika clock pulsa bernilai 1.

Rangkaian CRS flip flop Tabel kebenaran CRS flip flop

Flip-flop CSR dari NAND

Flip-flop CSR dari NOR

Dari tabel kebenaran kedua rangkaian flip-flop

CRS dapat terlihat bahwa untuk sinyal clock yang

tinggi, FF ini bekerja seperti FF-SR dari gerbang

NOR, sedangkan untuk sinyal clock yang rendah,

keluaran Q tidak bergantung kepada input R dan S,

tetapi tetap mempertahankan keadaan terakhir

sampai datangnya sinyal clock berikutnya. Sebagai

ilustrasi, berikut ini akan diberikan contoh bentuk

sinyal Q.

Hubungan antara Q dengan S, R, dan Ck

Tiga bari pertama disebut sinyal biner berupa masukan.Misalnya detak 1 ternyata S dan R

dalam keadaan tetap maka tidak ada

perubahan.

Diagram bentuk gelombang SR yang berdetak

3. Flip -flop D

Flip-flop D merupakan salah satu jenis Flip-flop yang dibangun dengan menggunakan Flip-flop RS.

D artinya Delay. (biasanya disebut Flip flop tunda artinya masukkannya akan tertunda selama 1 pulsa detak atau flip flop data hanya satu masukkan)

Memiliki 1 masukkan. Biasanya digunakkan dalam memori dalam komputer .

Keluaran flip flop D sama dengan masukannya ( = D), apabila CP berlogika 1 tentu akan terjadi selama tundaan waktu tertentu.

Apabila D berubah pada CP=0 maka Q tidak berubah, dikatakan dipalang dari keadaan D saat perubahan CP aktif ke tidak aktif.

D

CP

Q

Q+

Hubungan antara Q dengan D dan Ck Tabel kebenaran

Simbol flip-flop DRangkaian flipo-flop D dari NAND

Rangkuman Karakteristik Flip flop RS dan D

Jenis Flip flop Karakteristik Tabel Masukkan

RS (Set Risert) = S+RQRS = 0

D = D

Q R S

0 0 X 0

0 1 0 1

1 0 1 0

1 1 0 X

Q D

0 0 0

0 1 1

1 0 0

1 1 1

4. Flip-flop JK Flip-flop JK mempunyai masukan J dan K. symbol logika pada flip-flop JK mengilustrasikan tiga masukan sinkron (J,K, dan CK). Masukan J dan K merupakan masukan data, dan masukan detak memindahkan data dari masukan ke keluaran. Symbol logika pada flip-flop JK juga menunjukkan keluaran normal (Q) dan keluaran komplementer (). Kelebihan Flip-flop JK adalah tidak adanya kondisi terlarang atau yang berarti di beri berapapun inputan asalkan terdapat clock maka akan terjadi perubahan pada keluarannya/outputnya.

Simbol logika untuk flip-flop JKRangkaian untuk flip-flop JK

Flip-flop JK sering disebut dengan JK-FF induk hamba (Master Slave JK-FF) karena terdiri dari dua buah flip-flop. Flip-flop pertama disebut Master dan flip-flop kedua disebut Slave. Master  merupakan flip-flop yang diatur oleh sinyal pendetak pada saat naik (positif), sedangkan Slave merupakan flip-flop yang diatur oleh sinyal pendetak pada saat turun (negatif). Pada saat sinyal detak berada pada kondisi naik, Master  yang aktif dan Slave menjadi tidak aktif dan sebaliknya pada saat sinyal detak pada kondisi turun, Master tidak aktif dan Slave aktif. Tabel kebenaran FF-JKMS sama dengan tabel kebenaran dari FF-JK.

Rangkaian untuk flip-flop JK master-slaveJika clock diberi input 1, gerbang AND 1 dan 2 aktif, SR flip flop ke 1 akan menerima data yang akan memasukkan melalui J dan K, sementara gerbang AND ke 3 dan ke 4 tidak aktif sehingga flip-flop SR ke 2 tidak ada respon. Sebaliknya jika Clock dari input 0, gerbang 3 dan 4 aktif, slave akan mengeluarkan output di Q dan , sementara master tidak merespon input, karena gerbang AND 1 dan 2 tidak aktif.

Masukan Keluaran Mode operasiJ K Q00110101

Q01 10QNo changeResetSetToggleTable kebenaran untuk flip-flop JK

Baris 1 dari table kebenaran tersebut menunjukkan kondisi tetap. Dapat diperhatikan bahwa semua data masukan (J dan K) adalah rendah. Kondisi reset dari flip-flop tersebut ditunjukkan pada baris 2 dari table kebenaran. Bila J = 0 dan K = 1, maka flip-flop tersebut direset (Q = 0). Baris 3 menunjukkan kondisi set dari flip-flop JK. Bila J = 1 dan K = 0 serta terdapat pulsa detak, maka keluaran Q diset menjadi 1. Baris 4 mengilustrasikan kondisi yang disebut posisi togel (toggle). Yang dimaksud dengan kondisi toggle yaitu saat J = 1 dan K = 1, output Q dan akan menghasilkan perbedaan yaitu jika Q = 0 maka = 1 atau sebaliknya jika Q=1 maka = 0.

Input

Output

Deskripsi

Awal Akhir

J K Qn n Qn+1 n+1

0 0 0 1 0 1 No Change0 0 1 0 1 0

0 1 0 1 0 1Reset

0 1 1 0 0 1

1 0 0 1 1 0Set

1 0 1 0 1 0

1 1 0 1 1 0Toggle

1 1 1 0 0 1

Prinsip kerja dari flip-flop JK1. Pada saat J = 0 dan K = 0 atau keduanya berlogika low,

gerbang AND tidak memberikan tanggapan sehingga keluaran Q tetap bertahan pada keadaan terakhirnya

2. Pada saat J = 0 atau berlogika low dan K = 1 atau berlogika high, maka flip-flop akan direset hingga diperoleh keluaran Q = 0 .3. Pada saat J = 1 dan K = 0 atau ketika J berlogika high dan K berlogika low, maka masukan ini akan mengeset flip-flop hingga diperoleh keluaran Q = 1. 4. Pada saat J dak K kedua-duanya tinggi, maka flip-flop berada dalam keadaan toggle.

Prinsip kerja dari flip-flop JK1. Pada saat J = 0 dan K = 0 atau keduanya berlogika low,

gerbang AND tidak memberikan tanggapan sehingga keluaran Q tetap bertahan pada keadaan terakhirnya

2. Pada saat J = 0 atau berlogika low dan K = 1 atau berlogika high, maka flip-flop akan direset hingga diperoleh keluaran Q = 0 (kecuali jika flip-flop memang sudah dalam keadaan reset atau Q memang sudah pada keadaan rendah).

Prinsip kerja dari flip-flop JK3. Pada saat J = 1 dan K = 0 atau ketika J berlogika high dan K

berlogika low, maka masukan ini akan mengeset flip-flop hingga diperoleh keluaran Q = 1 (kecuali jika flip-flop memang sudah dalam keadaan set atauQ sudah dalam keadaan tinggi).

4. Pada saat J dak K kedua-duanya tinggi, maka flip-flop berada dalam keadaan toggle, artinya keluaran Q akan berpindah pada keadaan lawan dan menyebabkan FF toggle sehingga output Q berlawanan dari kondisi sebelumnya , yaitu menjadi Q=0.. Berikut ini merupakan bentuk diagram konstruksi dari JK Flip-Flop dan bagaimana mode toggle bekerja.

Kita memberi masukan J = 1 dan K = 1, kita asumsikan bahwa keadaan awal Q = 0, sehingga menghasilkan output Q = 0 dan = 1.

Tinjau kembali logika pada gerbang AND. Pada gerbang AND pertama akan menghasilkan logika output 1, sedangkan pada gerbang AND kedua akan menghasilkan logika output 0.

Dalam flip-flop JK, terdapat flip-flop SR yang siap mengatur output berlogika 1 jika clock atau detak diberikan. Pada proses tersebut dapat kita lihat logika output Q berubah menjadi 1.

Karakter JK flip-flop banyak digunakan untuk membangun berbagai komponen register, diantaranya adalah: register geser (shift register),pencacah biner (binary counter), pendeteksiansekuensial (sequence detector) dan lain-lain.

JK Flip-Flop biasanya terdapat pada IC 7473.

Flip-Flop JK biasanya terdapat pada IC 7473 yang mempunyai 2 buah JK flip-flop. Kelebihan JK-FF terhadap FF sebelumnya yaitu JK-FF tidak mempunyai kondisi terlarang artinya berapapun input yang diberikan asal ada clock maka akan terjadi perubahan pada

5. Flip-flop T

Nama flip-flop T diambil dari sifatnya yang selalu berubah keadaan setiap ada sinyal  pemicu  (trigger) pada masukannya. Flip-flop T atau flip-flop toggle adalah flip-flop JK yang kedua masukannya (J dan K) digabungkan menjadi satu sehingga hanya ada satu jalan masuk. Karakteristik dari flip-flop ini adalah kondisi dari keluaran akan selalu toogle atau selalu berlawanan dengan kondisi sebelumnya. Input T merupakan satu-satunya masukan yang ada pada flip-flop jenis ini sedangkan keluarannya tetap dua, seperti semua flip-flop pada umumnya ( dua buah terminal output Q dan  )

Simbol logika untuk flip-flop TRangkaian untuk flip-flop T

Q T00110101

110T0 Q1 /Q

Table kebenaran untuk flip-flop TKalau keadaan keluaran flip-flop 0, maka setelah adanya sinyal pemicu keadaan-berikut menjadi 1 dan bila keadaannya 1, maka setelah adanya pemicuan keadaannya berubah menjadi 0.Pada saat T = 0 maka = QPada saat T = 1 maka = /Q

Masukan KeluaranT Q0101

0011 110Table kebenaran untuk flip-flop T

T-FF atau flip-flop toggle adalah rangkaian flip-flop yang dapat dibangun dari modifikasi clocked RS-FF, D-FF maupun JK-FF. Dinamakan toggle karena kemampuan flip-flop ini untuk mengubah keadaannya. T-FF banyak digunakan pada rangkaian Counter, frekuensi deviden dan sebagainya.

Simbol rangkaian Toggle dengan FF RS

Simbol rangkaian Toggle dengan FF JK

Simbol rangkaian Toggle dengan FF D

Rangkaian T-FF dibentuk dari SR-FF dengan memanfaatkan hubungan Set dan Reset serta output Q dan Q’ yang diumpan balik ke input S dan R. Rangkaian T-FF yang dibentuk dari JK-FF hanya perlu menambahkan nilai “1” pada input-input J dan K (ingat sifat toggle dari JK-FF). Rangkaian T-FF yang dibentuk dari D FF hanya dengan menambahkan rangkaian kombinasional sederhana pada masukannya.

Modifikasi JK-FF yang digunakan sebagai T-FF dimana Masukan J dan K pada JK-FF dihubungkan dengan logika “1”, sedangkan sebagai masukan T-FF adalah clock pada JK FF. Prinsip kerja T-FF yang dimodifikasi dari JK -FF : a. Kedua masukannya (J dan K) digabungkan menjadi satu sehingga hanya ada satu jalan masuk dan akan diperoleh flip-flop yang memiliki keluaran membalik dari sebelumnya. b. Output (Q) akan selalu toggle atau berlawanan dengan kondisi sebelumnya, apabila diberikan masukan logika 1, sementara itu kondisi keluaran akan tetap atau sama dengan kondisi keluaran sebelumnya bila diberi masukan logika 0. c. Apabila keadaan keluaran flip-flop 0, maka setelah adanya sinyal pemicu keadaan berikutnya menjadi 1 dan bila keadaannya 1, maka setelah adanya pemicuan keadaannya berubah menjadi 0. d. Jika T-FF dipertahankan tinggi maka setiap perubahan pulsa clock akan menyebabkan keadaan outputnya berubah.

LATIHAN SOAL

Bila keluaran dari flip-flop RS adalah TINGGI, maka keluaran Q dan adalah ……..a. Q= 1 dan = 0b. Q= 0 dan = 0c. Q= 0 dan = 1d. Q= 0 dan = xe. Q= x dan = 0

Soal 1

a

Lengkapilah kalimat berikut ini!Pengaktifan masukkan reset dengan suatu level ………….. dan akan ………………… keluaran Q menjadi logika …………….. a. TINGGI, menambah, 0b. TINGGI, menghapus,0c. RENDAH, menambah,1d. RENDAH, menghapus, 1e. TETAP, menghapus, 1

Soal 2

b

Flip-flop RS yang berdetak beroperasi secara…….a. Tetapb. Asingkronc. Resetd. Singkrone. Set

Soal 3

d

Tuliskan dua nama lain dari flip-flop D ……………..a. Flip-flop delay dan datumb. Flip-flop data dan singkronc. Flip-flop data dan tundad. Flip-flop asinkron dan delaye. Flip-flop asinkron dan sinkron

Soal 4

c

Gambar di bawah ini yang termasuk rangkaian Flip-flop JK adalah….a. c.

b. d.

e.

a

Soal 5

Prinsip dari rangkaian Flip-flop JK dibawah ini, kecuali….a. Pada saat J = 0 dan K = 0 atau keduanya berlogika low, sehingga keluaran Q tetap bertahan pada keadaan terakhirnya (tidak berubah) b. Pada saat J = 0 atau berlogika low dan K = 1 atau berlogika high, maka flip-flop akan direset hingga diperoleh keluaran Q = 0 c. Pada saat J dak K kedua-duanya tinggi, maka keluaran Q tetap bertahan pada keadaan terakhirnya (tidak berubah) d. Pada saat J = 1 dan K = 0 atau ketika J berlogika high dan K berlogika low, maka masukan ini akan mengeset flip-flop hingga diperoleh keluaran Q = 1 e. Pada saat J dak K kedua-duanya tinggi, maka flip-flop berada dalam keadaan toggle

c

Soal 6

Flip-flop jenis apa sajakah yang bekerja hanya dengan satu masukan (input) data, akan tetapi memiliki keluaran sama seperti semua flip-flop pada umumnya (dua buah terminal output Q dan  ) a. RS-FF dan T-FFb. D-FF dan JK-FFc. JK-FF dan RS-FFd. T-FF dan D-FFe. JK-FF dan T-FFd

Soal 7

Flip-flop T memiliki beberapa karakteristik, kecuali….a. Apabila keadaan keluaran flip-flop 0, maka setelah adanya sinyal pemicu maka keadaan berikutnya menjadi 1. b. Kondisi keluaran akan tetap atau sama dengan kondisi keluaran sebelumnya bila diberi masukan logika 1.c. Apabila keluaran flip-flop 1, maka setelah adanya sinyal pemicu maka keadaannya berubah menjadi 0. d. Kondisi keluaran akan selalu toggle atau berlawanan dengan kondisi sebelumnya apabila diberikan masukan logika 1. e. Kondisi keluaran akan tetap atau sama dengan kondisi keluaran sebelumnya bila diberi masukan logika 0.

b

Soal 8

Soal 9Berikut disajikkan gambar detak flip-flop RS!

Berapakan keluaran biner pada detak a, d, f dan h selama detak pulsa ….a. 1, 0, 1, dan 1b. 1, 1, 0, dan 1c. 0, 1, 1, dan 0d. 0, 1, 0, dan 0e. 0, 1, 1, dan 0

a

Soal 10Berikut disajikkan gambar detak flip-flop RS!

Berapakan mode dari detak a, d, f dan h selama detak pulsa ….a. set, tetap, reset, terlarangb. set, reset, terlarang, terlarangc. set, set, reset, terlarangd. set, reset, terlarang, tetape. reset, reset, tetap, terlarangd