Signal Processing for the Astro-E Hard X-ray Detector under ...

94

Transcript of Signal Processing for the Astro-E Hard X-ray Detector under ...

!

" # # $%

"

" &

$# '(# # &) "

'(# * "

& +

# # ,- .

& .

/ /*0

*0

" /*0 "

* 12

$ !

+ 3 * .

# # 45 "

& "

*0

/*0

" ' 6 +

7 *# ) 45 64 8

" ## 6# "

" ## 9 "

" : 4)# "

" * * ""

"

! " & "+" ' # "!

" ' 6 "!" # ." * ."" *# & '

" * 4* ' " 6* / " 7 # +" $# # 5* !"" 6 !

"# !# $ ; * 6 '(# * !

) * 12 ! < '(# * ! ) $ +" = '(# * +

" * 6 - + 6 * * +

$ %% &

$ %- # $% **

54 4 ! * $%- $%-/

,3 8 * # 7 * & '& 7 * 1>"?2 " * 45 #

# @# * ,3 * 0 # A B "A 45 +

: ) +" # 9 . )

+

/ * # $ %- C 12 B 1)2

$% +

7 & '& !

" $% 12* * 1)2 * .

'( #* # * $%

* # // )

1B (*2

'( #* , # 1,32 !

# # - .

#* *0

" / *0 # & '& * # ) 9 & # # '& *( 6 # '&

) ) * ) * 6 ##

#* # *0 "

+ *0 .. ;D * 12 B 1)2 "

! *0 7 *

8 / ( # *0

. / * 12& 1)2'& +

/ -* * * B ) 12 * ) B 1)2 * # & ) *12 !

*# # $ 12 ; ## 1)245 ## 8

*0 12 .. ;D 1)2 (D # # , *( 1 2 # # D # .

" # 12 *0 1)2 * 7 #

# 3 7 #

# # 45 "

+ #* 45 *0

+

!

! (# # / E. 12 .. (D 1)2 ;D CF '6 B )*F '6

8 ' ( +. 7 ' 6 1'6 2C F ' '6 B F /

'6 + * ) # ! '6 8 @ '6 7 D# )

9 # 8" 7 * )(C F ' '6 B F

/ '6 ". # 45 ". # # # # # 1452 "+ # # # # * 1452 "! ## # #* , 1 9 562 "8 *# 9 ". 12 12 # 6 * ..

;D # *) ( ## # ) * ) ) 3 "

# 6 * # # 12 )B 1)2 "

" #* # ) >!? "!" *0 .. ;D # )

* * * "8" #* )B =# ; "8"" 7 * G )

*0 C 12 B 1)2 "8

" 7 * ) # ." @ # ) 12 * # B 1)2

# # "+ * .. ;D G

* * ) "! 7 # *# "8 *0 * .. ;D # # 7 ) "". # * *0

.. ;D # " * * # 6 " * ) +" * * B # .. ;D

# 8"" ( # # # .. ;D ." ( # # # 5 1 (D2 " 6

"+ 6 )

=# ; @# * / # @# * ,3 * +" -* * , .. )(# 12 5

C 12 / $ 0# 8 # * , .. )(# F12

* ) 1 2 1 2 $ 1 ) 2 12 #* * +.

& 1#2 '& 12 * 7 # ++ 0 '& *

& ( +! * '& & )(# +8 7 $ '(# * +. 12 # * : ) $ 1)*2

: +" )(# * $

+ ** # * ) ) ' 5

"! * * 45 0# F12 -* ) 1 2 1 2 $ 12 #* * ++

# * 1.2 ) . ) ' 5 "! * * 45 (D * ' # ++A1=,$;2 .! (DB . A (D +!

" # * 45 1.-2 ) . ) ' "! * * 45 (D ! (D * ' # 8A1=,$;2 (DB 8 A ! (D +8

/ - 0 H * ) %- >B B ? 4 )## ... ;-D-" B ) - ) . (* B #

4 ) # * B / - * 0 %- * 1% 2B 0 9* %- * ) ' * # * # # * ) # B % G # -B D ) ." (D ) * ) %- %- 4*## *1%42B ** 4 //B %- %4 # # 7 4B # * %- * 1% 2B ( 7 *## % %4 ) # *##

B $% 7 4 # # .-.. (D , # # H %- ) . (DB * * * B # # . - ..(D -* * #-# * ) # #B ) ) < # * %- * ( )(# B ) @ )(# # ) *

$ %- # **G )(# ) BI,-J I* 0#J # * # # ) . (D .. (D

' $% # * # B # ) # =*B # # ;DB ) *# * # # ( )# ) * , *B # #

/ B ## # # B # 0

"

4 "B # # ) / = B * @# * $%B *)) (# ) B )

$ %- 1$%2 &K'& ! 45 B . # '& - / * # 0# 4 # #B 7 . * )". (DB . * ) ". (D ) **G >!B 8B .B ?

38 c

m

34 cm

34 cm

34 c

m

Passive Fine Collimator

BGO(Active Shield)

Diode

GSO

Photomultiplier + pre-Amplifier

TOP VIEWSIDE VIEW

=# F / * # $ %- C 12 B 1)2

* ) )(# B $% # **G ) * 0# 4 B 7 * 1 *2 # 1 *2 B # ) # * )(# # # 9

,-

' # # * * ) * # 1 2B * ) 7 : ** B * 0 1=&D2 ## * *B * # * " # >"B ?

* 0#

)* 7 ) # )- # )* # 4 $%B )9* ) # " " * , *- 0# 4 B = # * B ( '& - # B & 45 ) * ( '& * # "

D

# # .L.. (D# 8A 1=,$;2 M (DB (D 1=,$;2 M . (D7 . * 1 ". (D2B . * 1 ". (D2= . . 1 .. (D2B " " 1 .. (D2 * '(# 1 2 . * (D

* *# * 1*** 2,# 8! (# 1 2

) F $ %-

4 $%B ) * 1&C &122 ' * #* 1'&C '&2B ) 0 @ ) 4 B *) 5412 41 52 )** >?

' # # B '& ) ( ) * # # $B * '& 1 .. 2 B *

+

54 - 54K 4 B 9 *) 4 #B & >"B "?B * < ) * '& * ! . B .

=# * # & ! 45 * #* G " **" ** ** ( &B . **. ** ** ( 45 # * '&B / 0#B '& # B I'& '*J &B I'& ,J * '& " B & 45 )* ,

Plastic ring

BGOGSO24x24x5

512.85

Groovefor cables

3

32.5

4.4

4.4

4.0 4.41.5

25 Fine collimater

Reny Skirt PIN diode assembly

Mu-metal withaluminum ring

Reny Cap1

2.8

25.7

25.7

63.0

Thicknessof BGO 3.0

320.060.0

BGO wellwidth 25.5

60.0

Reny

Hanenite/Rubber

53.5

3

3

15.0

47.5+/-0.05

60.0

45.0

52.0+/-0.05

62.0

12.25

Reny SkirtReny Ring

BGOBottom

5.5

4 4.4

1.5

63

3.0

Reny Cap-2Reny Cap-1

BGOWell

2.82

CFRP

GSO

24.0

25.5

5.0

50

1.7mm 0.2mm

0.2mm

60.0+/-0.1

0.5mm

60.0+/-0.1

0.5mm

24.0mm

24.0mm

1.5+0.1/-0.1

1.5+0.1/-0.1

A

A'

A-A' Cross-section

Wirefeed-thru

GSO

=# F $%

%- : * 0 0 45 B # ) ) ) $# # 45 B )) ) & * N # & # '&

# & * *# '& '*B # * & '& ) * * * ) # '& * ) # * & ) G# 7 * # * 45 ** * '&

* %- )(# 1%'2 * )(# #) >?B *# 45 B 0 * * )G

!

1. * (2 '& =&D . *) **G & '& ** # =# 7 & 45

* * &12 '& 5412 412&12 '& 54 4

7 * 1 2M. ! . ...7 /* 5 8 +" . " 1#K*2 + + + " # 1*2 " 8( * 1*2 ". "!. ". 6# O 1 K;D2 .... "... !... ...4 8 ! !.$# 5 5 O 6

) F # $% ** 54 4

=# F 7 & '&

=* )(# B # * * $% * * * ) @ )(# 1)12 * (D2 # # 1 1(D2C # P 2

8

) #0 ) ) )

12 E

12

12

P 12

B / 12 ( < # )(# $% . * (DB PK . ) # *B * ) 0#"12 , $% *# # * B B 7 # # * * =&D $%

*B * )

12 E

12

12

12

(D $% # 9 12 0# "1)2

, * , 1;D2 - ) &B *) )

E 12

5B B * ;DB # * '&'*B 9* < * # 5E.... K;DB E ."B E .B ) E !.. * )

P12 E

1"2

!1 2

A 12

& B ) # ( 45 B # / . # .. D # # >8? 4 # #B # * ) ) < #B ) " (D # # #

.

12 * *

1)2 6 *

=# "F $% 12* * 1)2 *-

!"

4 $%B *) # ) * 1 " 45 * B . * - # 2 # ) * )# ) * >? 9*

'() # B

'*) %K- # & 45 B

'!) * @ B

') * * # # B

') * B B ) *

$% # ) B >/? * * 4 B ( * 9

# * ) & '& * * *) $%B # # # & 1B & 2 ) * ) # # '& 1'& 2 # # & '& 1* 2 /B * # )(# &B # '& # )* * # & ) ..F B * ) ) 7 ) :# '& * * * B # * 12 $ * : * '& ) # 7 * : * 7 ) #

4 >?B * * * ) * 4 9 * * B ) * )(# * * ) B * )(# = * B )(# . * #B A )# )(# ) .A @ B *# )(# B # ) * * )* # ) @

# >'?B ) ## ) #B ($G B * ) # * * # # >? =*B # # ;DB ) *# * * ) # (#

/ B B 9* * ) 0 * ) B * ) ) 1>?2

/ )( #* -) # * 0# B $% 1$%-2B # * 1$%-/2B # * 1$%-2 $%-/ # # * $%-B B $%- # ;/ '7 $%- * ( # / * $%-3 >B ?

AE Adaptors (with 1 KByte DMA Buffer)

Digital Electronics (HXD-DE)

128kbpsbi-directional datatransmission

Analog Electronics (HXD-AE)

Intterrupt

DE System Bus

Sensor (HXD-S)

WPU

HV controlCal Control

Sensor dataHK data

16 Well type Phoswich Counters+ 20 BGO Anti Counters

ACU

AE statustime latch 012 3

012 3

TPU

Backplane (Hit Patten Bus, Power-lin, Clock line, ·········)

PSUPowerLine

PiripheralInterfaceModule (PIM)

Command Bi-levelStatus

Sattelite DataProcessor (DP)

Control Telemetry

=# F '( #* # * $%

6(# $%-/B ) 1/32 ! # #) )( B " , # 3 1,32 ) B " # 3 132 ) /3 * $%-3B ) # # 4 * $ Q# 1$Q2 B ## * * )

,3 3 " B / 0# B ,3K3 /3 ) )( /-) 4 : * * 0# $%B * ) *

RD/ -D/ RD/ RD -D/ $%- 1*/2 8. 8. " . .

1,2 . . .. . 8$%-/ 1*/2 .. .. . 8!

1,2 " ! "8 +!

/R 1*/2 .. . " . .1,2 " + ! ".!

) F * $%- $%-/

)( ) B * # ' *B B - B (- * )( 4 B # # # , B # * 0

* $%- $%-/ **G )

"

# ! $% &'

/ B **G )(# * / - * *

- *

- * 0

(# # *

-

* * #*#

+, - ) * * )(# # # # * 7 * & 1)-E.AB " ;D -B - . 2B ( . (D ) & )* ) B ) ) ' (B * - * *-* B @ * ) . * (D ..-".. (DB . * (D # #

+., % # - / - 0 B) ## * # * * * * 7 %- )(# 1%'2 * ( ) # 0 = $%B * ) . * (D .. (DB . *

(D . (D

+, 0 (# )(# - # # * # - ) * *B B *

+, % % /# @ * ) A / /* 1//2B # D

/# * * ) * ,)) 6G( >?B * # B ) * )

. ! . . 12

! . ! . 1+2

4 * * 7 #*# 7 # # * .. ;D )

G >?

+, 1% 22 2 )* #0 # # //B # # -

=# * ) 1B (*2 # // * B )* * ) ( * '& * ) .. $G >!?

=# F * # // ) 1B (*2

&

=* # B # F #* * ) 1;2B " 45 1452 # 45 # 0 ) *0 * # $%-B ,3 * ,3 * " B ) B /#B #-/B #-' )( #* ,3 * 0# # * 45 *0 0 /# )B # # # #-/ ) ( /# # 1/2 * ## # # * #B 45 B # *0 * # ##

, ## B &5 &6 )( )# # # # ( # / )( 9 )( / &5 &6 )(B ## ) &5 &6B # # # / ) *B * * =4=& &5 &6)( ##B ) ) =4=& / ) * # ) *B **G ) , # =4=& B =4=& &5 &6 )( , 4 5 #B 9 B # # >B ?

+

!

PSDLSI

ANODE LD

PHgatePHreset

PSDreset

PSDCONTROL

PIN LSI

PIN LSI

PMT ADC

PIN ADC

PIN ADC

WUS/H

ADCLATCH

ADC start

ADC convADC done

WRITE

FF

HIT PATLATCH

TIMELATCH

LATCH Output Enable

WU

WUS/H

WUS/H

PSDout

ADCCONTROL

FIFOCONTROL

FIFO

slowLD

HIT PATTERN BUS

COUNTERs

Anode

Dynode

PIN0

PIN1

PIN2

PIN3

SHIFTREGISTOR

SHIFTREGISTORCONTROL

READ

SH/LD

TO DE

12bit bus 8bit bus

Digital-A

Digital-B

Analog-board

P0LD

P1LD

P2LD

P3LD

P3LDP2LDP1LDP0LD

WRITE END

EXIST

=# F '( #* , # 1,32

8

) F ,3

#

4 ) *  8 ) $# ) = $# )45 . $# ! )45 $# ! )45 $# ! )45 $# ! )## + )45 6 # )$   ) & ); 3 )45 3 ); ) # =# )45 ) # =# ) =# )

.

()

Anode

Dynode

buffer

gain bus (8bit)

WPU

HXD-S

10k

+-

10 k

CS=220p

0.47µ 16 kref

7.5 k

AD7524

out

gain bus (8bit)

+-

15k

0.1 µ

0.47µ160

ref

7.5 k

AD7524

out

CF=33p

0.47µ 100 k

ANODE_LD

PMT Upper Discriminator (PMT_UD)

Fast Amp.

Fast Shaping (τ=150 ns)

Slow Shaping (τ=1000 ns)

Peak Hold Gate

ADC

ADC

PSD_OUT

PSD LSI

Fast P/H+-

22 k

LM6172

LM6172

0.1 µ

0.47µ360

1.8 k

10k

15p

Slow LDThreshold

2.7 k

22k

47p

SLOW_LD

Slow P/H

+-

0.01µ

10kSUD

10k

TLC372

100p

1k

+-

0.1µ

470

4.3k

+-

Max913

Max913

=# F # # -

, -## *B ## # 9 * ) # 9( ) 4 $%B ) # # * * ) 4 B # 9 ##B # ## # *

# # # @ 0# *0 # *0 7 * C . 1 #2 ... 1 #2 / ) B # : ) #*0 G# ! ) # /# 1/2 # 1 # 2B * / * 1. (S2 1+ (S2 * 0 # 4 B * *0 1" 2 # #) 0B ( B /# # 1/ 2 # # * # &3 #B & 1 *2 ' B * # 6&, 6 #B $ / 0#B ( *- *G64 ) # >?B G / *# / # ) /-6 # * # # **G )

# 1*,2/ *0 !*0 " *0 8. 1642 ..3 +

"+ " 8""

) F * #

!

# * * ) ,3 * # ) 1" *2B *0 * 9 &- B * @# # # 4 B # ** ) #* *0 0# >? * ) * -) B !. S ** ) ) ; 7 7

+ 5V

- 5V

to Lower Discriminator(Anode LD)

to Upper Discriminator (PMT UD)0.1µ

3.3nRI=20

0.1µ10k

100

0.1µ

10k 10k

510

RL=4.3 k

0.01µ

10k

0.1µ 0.1µ100

5.1k

100

100p

0.01µ

10k

Anode 2N2222A

2N2907A

1 k

10k

=# F #* *0

3( # *0 #B *0 : **0 * B 9 ## -## # *# # '& # )* * & # * * #

$ * 7 ) # # &

'& 7 * *

E

12

# )

E"

" "1

2 12

" " @ * B # # ) @# * 4 D *G @ * 7 * ) >"?B * 9 12B # 0# " & '& # ! . # B + -. # *( # * 162 # '& # # 0#B : '&

* . . . -. -.& ! 8 88 .! '& " . .8 +.

) F 7 * & '& 7 * 1>"?2

=# "F / *0 # & '& * # ) 9 & # # '& *( 6 # '&

/ *B *D * ## # # $B # ) ) @B * (

6 ## # , 6 ## ) # B ) # ) ) : 6 ## * # B ( 6 ##

( 6 ## B 7# # * 7 7# *( ) * , # ( 6 ## ) ##B ) 7# * * # * ) ) * ) * 6 ## 0# , 9 ) # * (## # * #B 9 # , # # B # *) 0 )C ( 6 ## & B 7 # * # # 3 *132 # * ) # *0 ) *B ) **

=# F ) ) * ) * 6 ##

"!

) *0 * ( # * # ) 9* # *0 *B * ) ( *** B *0 ) **G 4 $%B *0 # )* *

4 & # * .. B *0 9 & # * & '& ) ) #* ; *0 0# >? 4 * #B * : =B Q 0 #B ) ** )

"

- 5V

OUTPUT

+ 5V

2SK322

2SA1226

2SA1226

100

0.1µ

0.1µ

100

3kCB=10 p

RL= 5.1k

0.01µ1k

100

0.1µ

24 p

20013 k

0.1µ

10 k

0.1µ

10 k

10 k10 k

4.7µ 4.7µ

10 k

100 p

39 k

0.1µ

0.1µ

20 k100

560

51

1N4454-1

1N4454-1

INPUT

=# F #* # *0

# # ** ) # )

) -* 4 # - # 1 K2B # 7 9

1EK 2 #B * B /B 6 ** ) =B # 7 9 ) = (SE. / B * # # . S * #

# # # B )* * * # =# + *0 ..;D # / # # 12 B # , * # *0 *

= .. ;D # "B 1 "B 6 2 G /* 1/* 2 * N/* N 4 /* * 54 * /* ) ) ) 54B # 54 #B * .. ;D-( # ) ## $# D# *

4 # * B ( B 2 * * *( # # # 2 #B # * * # *0 & # 7 * B 0# ! #B " E "

# !

*0 # *0 ,3 ) # 0 # )7 B

B

proper signal

overshooting part

A

15 1/16 cm. >200 µs

12 " E

B

proper signal

overshooting part

10 cm. >100 µs

A

1)2 " E "

=# +F *0 .. ;D * 12 B1)2 "

=# !F *0 7 *

#4 < & 1 ) 2B

# * * & B ) * B ! ) / 1# /# 2 # >? =# 8 / ( * / 4 * / . (SB 4 E DK.(S @ / F #

DAC(AD7524)

+-

LM6172

22kΩ

I1+ I2

Vin

7.5kΩ

I1

I2Vout

1pF

=# 8F / ( # *0

&/*B # ) B ) ** / 4B # 7 # * 4 4 @ &*B # # )

E 1 R 2# E 1

#

R

.$S

2# 12

/ # )

E#

#

R#

.$S

1"2

) # ) * . ) ** )( &* * #

# *0B # *0 * * & #/# &* 6;+ 1 E ... *DK 2B # # &* )# 7# # , * # *B E (S *** A : ) #B # * 0#

" $ %"$&

, * 12 * $% & , >B ? & '& * ) *# * # 0 B

+

# / 0# B *0 # * . 1 2 ... 1 2B * & '& 7 ) B * # ) # ) # ) & #B & # #B 0 # ) # '& /# # * # )& '&B 7 * # '&B : # *( #B

! 12

! % 12

# # /: )B & '& ) ) ) * ) *# # ) * 1 &32 / * & '& # 0# .

(a) GSO (b) BGO

fast slow

fast slow

=# .F / * 12& 1)2'&

4 *B 1 B 2 -* -* / -* * 9 ) G# * ) - * 1 (D2 . # 0# 12 G #B K= * B & '& # '& ( ( -B '& ) # * # & '& ( * # ) )

6 ## , # '&B * & * )# * '& 0# 1)2 B &3 # :# & , '& * :

!

0

1

2

3

4

5

6

7

8

9

10

0 1 2 3 4 5 6 7 8 9 10

FastFast (Volt)

Slo

w (

Vol

t)

GSOGSO+BGO

BGO

A

B

C

662 keV line in

A: GSO

B: BGO(Bottom)

C: BGO(Well)

12 )

0

1

2

3

4

5

6

7

8

9

10

0 1 2 3 4 5 6 7 8 9 10

FastFast (Volt)

Slo

w (

Vol

t)

1)2

=# F / -* * * B ) 12 * ) B 1)2 * # & ) *12

' ( "

, : # # '& B $ : * 7 # * 64 # 6&, 6 # >? 6&, 6 # ) ( 7 * / 6 # ) / 6 # # '&

4* * , (B / $4 / 5 # * 1 , . / 2 ) / )( /# * ) B * * * # * : # # .. (D / * # :B : ) B :

" : ! #

*# $4 / 5 # *B ) 6&, 6

## # # * 7 *# # $4 / 5 0# 12 / ) B ## # # ) *- *0 1/5& 62 *# $4 / 5 # " /5& 6 1 "2B $4 / 5 #- * ) *# 4 * 6&, 6 $4 / 5

8

B $4 / 5 # ) $B *) : $4 / 5* )

∆slow

ANODE_LD

DELAYED_TRG

SLOW_LD

HIT_PATTERNdelay

width

4µs

refer

PIN_LD

DELAYED_TRG

SLOW_LD

HIT_PATTERN

4µs

refer

delay

width

(a) for PMT triggers

(b) for PIN triggers

∆slow

∆pin

=# F *# # $ 12 ; ## 1)2 45##

0 # * ( 6&, 6 * /5&6 # 6&, 6 # # ) B )## 6&, 6 # # , # * B )## ) B B )

# )

6&, 6 6/O )* # * ) "P B P (# * # * ) "P

)* # # ) " $4 / 5 * ) "

, * PB ( " B ) - .

& B $4 / 5 # * ) ) ) ) 45 4 * 45 ) : 7 * * # ## # 145 62

.

) / *# 0# 1)2 (# * # *0B # " * ) * #B * ; #B " B - +. B .

* ) ; 45 # B $4 / 5 # ) # ) ) # * 45 # * ) B # ) * <

) $ *

, # # '& B # # B ( * * *0 .. ;D # 0# B # (D # , #1 0#2B * # #B #

4 9 *B ) ## / * # * #B ) *0 * 1 ;D2B < 4 B N # B ) # # *B * ) #

SUD

(a)

(b)

=# F *0 12 .. ;D 1)2 (D # # , *( 1 2 # # D #

B # D # # B *( *0 *0 ## # 0# "12 7 *0 ".. *DB # ) $B 7 # # /# 7 # * # *0 B * # )## 3 # *( # 7 0# "1)2

. ;DB * .. *D 6 $B . *D $ 6 # 3 7 # 0#

, *( ) ## # B 0# , 9 # * # # *# 3 #B 4 NB # * ) *# # # 3 # #

12

1)2

=# "F # 12 *0 1)2 * 7 #

=# F # 3 7 #

"

*+

CSA

HXD-S300M

1p2200p

2200p

0.1µ

100k11k

100k

22p

+

-

fromPIN

WPU

1k 22k

22p

24.7k

1N5711

LM6142

ref out

gain busAD7524

LM6142+-+

-1n

1µ43k2k

100k

11.5k

PIN-LSI

PeakHoldCircuit

to ADC

Vth

Comp.

PIN LD

9.1k

220p

VBLR+

VBLR-

1N5711

47n

-+

+5V

VBLR+

VBLR-

1k

10k10k

-+

10k

LM6142

=# F # # 45

45 # # . - +. (DB * # # $% / - & 45 ) ( B / . # ..D # #>8? # * ) 0#B / . # B * ) * 45 )B # +. =B 9

* # * B * ( 7 # : * ) B *( ) 0#B 0 *

# # @ 45 0# # * *0 0 *0 ) )7B # *0 ,3 *B # /B * / ) # *0B B * # ## # 45 B ##B 45 64 >"? ) ( !-) / # - B # *0 * # # **G ) "

# 1*,2*0 .. *0 ' 8 1642 .

"+ " 8""

) "F * 45 #

2SC22233.9k

20k4.7µ

+12VV-

10k

4.7µ

-5VV+

2SA1226

2SK322

2SA1226

0.1µ

10k0.1µ

100

8.2 k

300M

20 k

INPUT 2SC2223

2SA1226

0.1µ

10p

100k

100k

100k

HV

300M

10M

3.3n

1p

1k

10p

15k

4.7k

100

0.1µ

1000.1µ

2SC2223

2.2n

2.2n

100k 0.1µ

11k60

47k

0.1µ 100

100

0.1µ

30k

0.1µ

100

100

0.1µ100k240k

1n

330k

2SA1226

2SC2223

22p

3.3n

V-V-

V+V+

=# +F #* 45 *0

"!

#* 45 *0 0# + = B # # +. =B #- ) # := Q 0 #B * 0 # ; *0B/ # )( B ) ## B E.. ;S # B =B * ) .. 4 B )7 # #*0 # # # # B 7 # * " - 4 *0 ) . # ) 0 # # 45

# !

45 # *0 ( * ; # *0B ) 7 # : * # * . # 0 45 )( B *( ) 7 * 45 * ; # *0B # ) # # ) * 45 # * & * # ) ..A

, # # .B ( # / 4 (# ) . (D B ) )* ) # # ( .. (D ;D 0# ! = ;D B ( .. *DB 9 . (D $B ) *** B ( * 9 ) # 6 #

. ;D # # * ) :# D @# * 45 *0 # & # : 1E =2 K * .. K *

(a) 600 keV (b) 6 MeV

30mV (=3keV) 100mV (=10keV)

=# !F (# # / E. 12 ..(D 1)2 ;D C F '6 B )*F '6

+

+ ,

, # 1 * 2 B ) )# ) 9( ) # /# * # * 45 B # # B '6

VBLR+

VBLR-

2I

D1 D2 2IOFF

VBLR+

VBLR-

2I

D1 D22I OFF

VBLR+

VBLR-

I

2I

D1 D2I

(a) (b) (c)

=# 8F ' (

* 0# 8 / 0#B # B @ * * ) 12 = B 7 # 1)2 / # B 7B @ 12B # 9( # B '6 (

* # *0 '6 . ;D 0# . # *0 # * B .. '6 ) 0

>3ms

~300µs

=# .F 7 ' 6 1'6 2C F ' '6 B F / '6

!

+, -

'6 7 # #B * ) ) ) 7 B )

4 # *B '6 #B -# # * 3 ) ) $B # * 3& ' # 7 ) -# 7 * 7#B # * "8 D D/ 7 # '6 # #

/ # B 7 ) @ ) * / 9 * # # 0# * # 12"DB 1)2. DB 12D , ( 6 7 ) # *

Am spectrum at -10deg

0

200

400

600

800

1000

60 80 100 120 140 160 180 200ADC Channel

cts

(a) V=4.6V

(b) V=5.0V

(c) V=5.6V

(a) (b)(c)

=# F * ) #

)G # 4 ) $B ) " B ) * B # B * # # 6;" &*

4 0 *B 0 # G B 0# 12 4 B 7 # @ ( 4 *1)2B 0 # 4 B '6 # / #B) # # #B @ (

7 7 # @ 0# G # B 7

8

-+

-+ VBLR+

VBLR-

+5V

-+

-+ VBLR+

VBLR-

+5V

(a) (b)

=# F '6

# , # * "8 DB 7 # ) *DK . *DK *D #K G 0K * 9 (DK.. (DK. (D # 45 " (DB )( ) G #* * B *

-5

-4

-3

-2

-1

0

1

2

Gai

n A

mp

offs

et (

keV

)

-16

-12

-8

-4

0

4

8

Sha

per

offs

et (

keV

)

-50

-40

-30

-20

-10

0

10

20

4 4.5 5 5.5 6

Gai

n A

mp

offs

et (

mV

)

Voltage (V)

default

(a) 2k+zenor

(b) 1k+10k

+5VA - PIN offset Voltage

by Load

Bus-V

=# F @ '6 7 D# ) 9 #

. / "01 +$0

* 45-64 45-64 * D /# # # *0 DB # '6 B # * # , * *#B )( &/* ( * <

".

# 9( B ) * ) '6 * # *0 '6 . ;D # 0# ", * # ** 0# .

~200µs

>3ms

=# "F 7 * )(C F ' '6 B F/ '6

, 0 < ) 9# * 0# , # . ;D ) # *0B

241Am

PIN Diodes

RandomPulseGenerator

PIN Preamplif ier

t ogain amp

(30 MeV)

=# F # 45

# # * 45 , 45 %-1( . (D2 * /* * *

0# G # .;D #B (B ( ) ( 7 ) . ;D # , * B )( &*B * '6 , ( * * * )( 4 A * '6 * "A

, 0 ) # # # # # , * * # /* ) # 4 0# + * 7 B ( A ($G # # 45 B # < * *# )( 0#

"

=# F # # # # # 1452

=# +F # # # # * 1452

"

,

$2

/ 1 "2B * * )(# 4 ( ## # 9 B ) ## ) * )# # )B #

# #* 9 56B ## # 0# ! + ## # -##

Reset

Reset

delay

Sw

Sw

Sw

Sw

Sw

Sw

Sw

ADC Done

TRIG

Trigger Pattern

DoubleTriggerDetection

AnalogBusy

SwPMT UD

SUD Sw

PSD SlowLD

Sw

Sw

clock

clock 4 µsretriggerablepulse gen.

retrig. LD

to Dead TimeCounter

PMT UD-SW

SUD-SW

PSD Out

Reset

Reset

Reset

4µs delay

DELAYED_TRIG

Watch DogTimer

Reset

WaitReject orADC Done

WaitAnalog BusyReleased

PH/PSD Reset

GenerateReset

P/H Reset

PSD Reset

to Peak HoldGate

PSD Trig

Wait & ResetSequence

PMT LD-T

PIN LD 0-T

PIN LD 1-T

PIN LD 2-T

PIN LD 3-T

Pseudo-T

SUDTrailing-T

ANALOG_BUSY

Reject

Reject

to ADC controller

PMT Trig-Flag

PIN Trig-Flag

SUD Trig-Flag

PseudoTrig-Flag

Sources for Rejet & Analog Busy

Trigger Sources

PIN LD 0

PIN LD 1

PIN LD 2

PIN LD 3

PseudoPulse

ANODE_LD

SUDTrailing

Sw

SUD-SW

UD-SW

Reset Flag

Sw : Switch (by a command)

clock : 5 MHz

retrig. LD

clock

SwPIN DBL

PMT DBL

=# !F ## # #* , 1 9 562

# C -# * # 1/5& 62B-# * " 45- 145 62B B * 132 #

, -## B -= = 1 4 #2B ### ) ) / # # 4 #B # # ( K 45 #

"

, * -## B 4 # ) ) ) 45- & ) * # ) ) # ##

-## ) ) B # 0 * ) *# :#* " B *- * #B 45 # (

= B 6/O 4 # # " 4 #B &3 = *B &3 # # * '& B : -9 9 * @# * @# * *# ## *#

, # 0 B ( # 1 B B " 2 ) /# # ) ) / B /. ;,K!! * 5 *- = 45 #B ! ) ) * # */ / B =4=& 9 ( /5/6& '3O # ) # 9 * #9 0# 8

1us 2us 3us 4us 5us 6us 7us 8us 9us 10us 11us 12us0us

Hit Pattern

Trigger (sync.)

PH Gate

PH FAST

PH SLOW

PMT S/H

PIN S/H

Data Latch (ADC)Slow LD

Delayed Trg

PSD.out

PSD trig

LD

PH RST

ADC Done

PSD RST

5MHz sync. clock

When PSD is required for Trigger decision, PSD out isverified at Delayed Trig. timing. If PSD out is non-active,Wait & Reset sequence starts immediately.

Reset

=# 8F *# 9

,3 0/

+, 34 / B 9 ## # # # & 45 # #

""

# # :

; 6 45 6 "& : # ) K7 ) **

; 3 F , ; * @#

; 3 F , 3 * @# 1 +2

/ 6 ## T &3E'& F , ## ) / 6B ) :# '&

" 45 6 T /6 ## T &3E'& F , # ##B ; # :# '&

+., . +( *, 4 # ) ## #B 9 /# ' # 4 /#) # B 9 /# ) # #) (# & # #

;- 6 F , * @#

; 3 F * 12

; 3 F * 12

" 6-0 F , * @# "

12 1"2 # # 12 6-# * B * $ 1"2 # 0 B # # # 4 # " B *- 12 12 # ) ) # / ) K7 ) ** ; 45$4'4 ,

@ * # ) # # @B ) )* 4 * )(# )B * * * * ( * * * *B ) -(

+, % * ( * ## )B* 4 # 9 * ( ** . ;$G ( =/ )B ) **

"

+., - / * * /3 ,3 # ## B * 45 * ) *) *# *) 9 * *( * * ) ) * ) ) * # >"B ?

+, 5 ' )# ## -## # B 8 ) 6 16 *2 # , * * )*# # 6 9

4 * 6 B * ( ( ## ) # * # # B ## * ) @ , ( ## ) #

7 # ) *B

*B

) 6 # ) 3 #B

# # 6 #

0 ) ) # # * # .. ;D # 0#. * * B , * ( 6 # # B * ) )

Inhibited by UD

=# .F 12 12 # 6 * .. ;D # *) ( ## # ) * ) ) 3

=B # ( ## ) 0 B )* # )* 0# 12 ## # ) # < ) B

"

## D & # , * * . D, ) " # 0 ( GB )-@ @ 4 B # ) . D

(b)

Threshold levelThreshold level

(a)

=# F # 6 * # # 12 )B 1)2

+, 6 * 6 #B 3 # ) 8 ) 3 # 0B ) # * / # # 3

4 B 45 ) # # // 3 ) * # # &

! "

&

4 $%B # ) #B # # ' # # G B )* $% G# # # # .. ;DB *# # ## ) *# *B # * 7 # #

/ B ) ## B 9 7 ) # $B * #B * ) * ) # )* # * # # ) * = *B * $% % ) * # B # ".A ) * * >+?

* ( 7 * ## - 4 *( # # # #B * * *( * 9( 4 * * * # #

# 9* )B # # , 0 * ) # B # **G 7 4 B ## 0 )B * * # B *0 )B

"+

"!

$

Dynode-out Anode-out

K G Dy1 Dy2 Dy3 Dy4 Dy5 Dy6 Dy7 Dy8 P

0.047µF

0.01 0.01 0.01

1M1MRd=390k

Ra=1MΩ

Cc=4.7n

Cc=4.7nF

HV

1M 1M 1M 1M 1M 1M 1M 1M 1M 1M

=# "F #* # ) >!?

) # # ) ) * # #* ) ) 0# " >!? # ) $/;/;/3 # 1 -.+2B #B ;SB =# " *0 # ) , ( * * # # .. $GB +. A *B ( ) *

+

4 ## ) B ) 9( B 9 * # ) # #

, # : B # )* #B * # )* # 4 #B # @ ) B @ # *4 )GB ) $B < * # # $% B * G ) , ( * )B ) )* B 0 E.. (S E.. = 0# " 7 0# "" , . *D B 9 . (DB ##) )

"8

(a) (b)

> 6ms

~ 1V

=# "F *0 .. ;D # ) * * *

Dynode-out Anode-out

K G Dy1 Dy2 Dy3 Dy4 Dy5 Dy6 Dy7 Dy8 P

0.015µF

0.01 0.01 0.01

R22=100k

62V

100k

1M1MRd=50k

Ra=50k

Cc=440p

Cc=440p

HV

1M 1M 1M 1M 1M 1M 1M 1M 1M 1M 1M 1M 1M 1M

=# "F #* )B =# ;

100µs100µs100mV

100mV

(a) without R22 (b) with R22=100kΩ

=# ""F 7 * G ) *0 C 12 B 1)2

.

# ) G )( * 4 *B 0# ( < # ) * )( & #B 7 G ) =* 9* )B E.. (S 4 G )( *B G # * G G , ;SB ;S # # #

/ )B 9 # # ) * ) 1;2 # # # $B ) # # ) B * # *

# ) * B # * # = & # *B @ * )# .. B / * ) U E K !. S E. = ##) E .. (S & B ## * # ) # 7 )* * # # 7 * 0# "B *0 .. ;D , * * .. .. ) # # * = "+. =

(a)Cc=1nF (b)Cc=470pF

~ 300µs~ 500µs

=# "F 7 * ) #

,-

4 B * )B )( *01 2 $B #

@ # ) 0# " / 1$DE!.DB ; # .2B ) 4 ) . /

HV

IB=60µA

Ra=50k

-4eAn

Cc=440p

+3e

Rd=50k

IA

last Dy

Cc=440p

A

B

0.01µ68V

12 * #

A

B

0.01µ68V

HV

IB=60µA

Ra=50k

-4eAn

Cc=440p

+3e

Rd=50k

IA

last Dy

Cc=440p

1)2 # #

=# "F @ # ) 12 * # B 1)2# #

, # : B # B # 9( # / # ;B # # #

) *- . ("". = E , / = ;D # '&B # )

( E 1"2

"... . 1 .2 . 1"2

!. . 1"2

5B B / * ;DB 9* < *B ; #B * # # * " E B # )

" 1""2

* # * ) $B # .. ;DB ) * # 0# "1)2 )

# /

/#B # ' ) G )

# # 9(

" # # ) B ( # *

/ *B * # 1 "2

4 #B # G ) ) B * G ) 0# "+12 # *0 .. ;D 0# "+1)2 , # B : 0 ) $B G ) ( ( # )B ) *# # # 9( # B )

HV

IB=60µA

Ra=50k

-4eAn

Cc=440p

+3e

Rd=50k

IA

last Dy

Cc=440p

A

B

0.47µ

0.47µ

12 ) G

1)2 *0 ..;D

=# "+F * .. ;D G * * )

4 # # # B 0 * D G 0# "!1)2 * )# ( # D 7 * B 0# "81)2 * $B # ) # B )* G # 1.. =2 # G *( # #B ) , # ) B # B # B ' * *

B * = .+ D )## *B 10# "!122 , * .. (S . (S )### * 14 * / ) * B @ 2 , 9( # G * .. ;D 0# "812

**G * & # 7 ) 0# ". , # ) D . *DB *# * B * . .

(a) Normal type

(b) The zenor type

(c) 2 diode type

Ra Cc

Ra Cc

Ra Cc

=# "!F 7 # *#

"

(a) The Normal type (b) The Zenor type

(c) 2 diode type

> 100 µs

3.5V 1.2V

0.3V

~70 µs

~ 50 µs

=# "8F *0 * .. ;D # # 7 )

12 & #

1)2 *

=# ".F # * *0 ..;D #

PMT

Bleeder

GSO/BGOsmall phoswich

LED

VME

preamp

gainamp shaper, P/H

gategenerators

Anode LDFast amp

An

Dy

137Cs1kHz

ADC gatestar conversion

P/H gatePSD gatePSD reset

Voltagegenerator

=# "F * * # 6

- *- $

=* )B *# 0 #* ) 0# " , 0 * # )) @# @# *

+

$

* )B 10# "2B 1 - 2 ) @# 7 B * # ) 4 * B 6# *# 162 : # * ) B * 0#" # * ) :# #B *- B * & * '&B * )B) (D - ) , ( - # B * - * # 6 , * # * ** = # B , -=# *B * =# *B D; * 9

,

# # ) -* ) 0# " G * * # 1 4

+

=# "F * )

E DK R 41DK2 2B / (D ( 9 *

=* B # )# * /B # -* ) ) * )# ( , 7 # B )## * & B * )B 4 ". / ) * # ) )

. "

$

4 B 7 * $ 0 7 ) # B *

* B 6 # .. ;D *B ) # *# 6 # 9 , # ) # # # 6B ) ) # * #, ( # .. ;D B * # * , ( 9 B "..

( * B * * 7 * & ) B ) -.

,

-* * 1G F #B F #2 ) 0# " 0# # *B

!

) .. $G ($G 6 B # # ) )* # * ) 7 * & ($G :B * ) ) # * ) # B * ) ) # *) * * 9( #

( # 0# "" G # .. ;D #B ) ( ( ) ) * ) A .. $G B A ($G ( B # - # # $B ( )# # " ($G ) G ) ( # ) $B )* #B ) ) < # #B ) # ) B # * ) #B *( ##

# # ) .. $G -) -* B ) <

( 1 "

$

4 B ) ( 7 # # $B 0 7 # # * - , * *- 9 * B 5 %- , # * ($G .. ($G ) ## 4 * B * 7 *

,

# ( 0# " # -. # # B ) * * 7 #

# ) * ) " ($G , # ( # * , ( A . ($GB * # #

+-

< ) B * )B * * * * 0# " * # 0# "+

8

0

1

2

3

4

5

6

7

8

9

10

0 1 2 3 4 5 6 7 8 9 10

Fast shaping (Volt)

Slow

sha

ping

(V

olt)

12 1 ($G2

0

1

2

3

4

5

6

7

8

9

10

0 1 2 3 4 5 6 7 8 9 10

Fast shaping (Volt)

Slow

sha

ping

(V

olt)

1)2 R .. $G 6 1&# '2

0

1

2

3

4

5

6

7

8

9

10

0 1 2 3 4 5 6 7 8 9 10

Fast shaping (Volt)

Slow

sha

ping

(V

olt)

12 R ($G 6 1&# '2

0

1

2

3

4

5

6

7

8

9

10

0 1 2 3 4 5 6 7 8 9 10

Fast shaping (Volt)

Slow

sha

ping

(V

olt)

12 R .. $G 6 15 '2

0

1

2

3

4

5

6

7

8

9

10

0 1 2 3 4 5 6 7 8 9 10

Fast shaping (Volt)

Slow

sha

ping

(V

olt)

12 R ($G 6 15 '2

=# "F * * B # .. ;D #

.

12 #

1)2

=# ""F ( # # # .. ;D

12 #

1)2

=# "F ( # # # 5 1 (D2

Ra Cc

Pulsegenerator

HV

preamplifier

linearity check circuit

=# "F 6

=# "+F 6 )

=* B * )# ( = * )B ;D ) # , * # , # )* # )# B * (

#

$%

%

(# * ) B @# * 1$%-/2B @# * 1$%-2 ) *) *) 88! , #- 0* # *B ) ) $ @# * =;$%B -)# 4/

# . -

4 9 ) *B $% *B. ( 45 B * ) * # #

RIHXD-S

4M cable

AE

PM DE

QL machines

5 /-20°c

Polystyrene

=# F =# ;

= 0 B * / # 0#B $%- * *) *

B ) # $%-/ # " * )B * ) B 9 ) * # 12 ( @# * @# * / 1# 2 0# 12 1)2 G / ".+." **

12 1)2 / )

=# F @# * /

# ,

' 9# * B 0* * # B # # * , * )# # *0B * *0 ## # *0 # 1 2

* # 0# -G # * # = B # *0 #B (D E D B . (D E . *D 45 0 N #NB A B "A 45 4 45 ) * # *B *) / * 1".8 B 45 2

=* B # (D - !.! (D *B 8! (D - 8 (D 45 # **G ) * # B * * ( * # ) ) # **) # # *0

+

# 1*D2 # 1(D2 . - . - !.!

45 " - . 8! - 8

) F # @# * ,3 * 0 # A B "A 45

12 ; # 1)2 45

=# F # @# * ,3 *

!

# $% - #

/ B ) # B * * )(# ( 0 )(# * 4 B ) * ( <

$ /- " $ %"$&

& * * 12 : '& * # & 9-* * ) 1,..2 0# " , B # & '& B )* * B # # # # , '& * 7 :

=# * * 0# )(# # * ) # * B ) * * ) '& * )* #* * ) ) :# -* * G B # # *0

4 * 10# )*2B ( . (D & 1)E.AB " ;D -B- . 2 >"B !? *) ( ) . K / * B * * )(# # *) & # A # * )B ( 0# 7 '& *

4- "$ $

/ B # * * ( # & B )(# $B -* * B # B *)# * 1, '& *2 , * #B ) < ) * B # < 9 *B * * * '& * G ) - * B )(# *# *

4 < 7 # B -* * & B 0# 1)2 =# 12 : # ( '& (B * # & ( , ( # B )# * * . (D 4 9

8

W00 Background spectrum (No selection)

0

500

1000

1500

2000

2500

3000

3500

4000

0 500 1000 1500 2000 2500 3000 3500 4000Fast (channel)

Slo

w (

chan

nel)

0

500

1000

1500

2000

2500

3000

3500

4000

0 500 1000 1500 2000 2500 3000 3500 4000

W00 Background spectrum (HP,PSD selection)Fast (channel)

Slo

w (

chan

nel)

=# "F -* * , .. )(# 12 5 C 12 / $ 0#

+.

Total spectrum

Bg spectrum at 5deg (16 units summed)

0

10002000

30004000

50006000

70008000

9000

100 200 300 400 500 600 700Energy (keV)

cts/

unit

Sepected spectrum

0

20

40

60

80

100

100 200 300 400 500 600 700Energy (keV)

cts/

unit

=# F # * , .. )(# F12 * ) 1 2 1 2 $ 1 ) 2 12 #* *

& '&B * # # * '& & * 0# +B 0 * B

#)*+ E)1.V1

22

)V12 12

B B B B B B #*B ( ( B - * & (B V1,2 * ) )

V1,2 E

&, 12

0# + '& * * ( )* * 4

*) '& )* # * & =*B * '& ( 1 ) ) =12 E .88+2B '& & ..FB '& * ) " A & ( $B 0# *) '& ## * # , '&F& ..F .. (DB !F . (DB F . (D

7 *0 ) B *( 6 # * 162 # '& 4 * *B 6 (D & 1 #B ) B )# 2

+

400 keV

Separation of GSO / BGO (5deg)

1

10

-1000 -800 -600 -400 -200 0 200channel

cts

150 keV

11010 210 3

-1000 -800 -600 -400 -200 0 200channel

cts

80 keV

1

10

10 2

-1000 -800 -600 -400 -200 0 200channel

cts

50keV

1

10

-1000 -800 -600 -400 -200 0 200channel

cts

12 * ) ) # * 0#1)2

GSOBGO

fast

slow

90º

1)2 / # #

=# F & 1#2 '& 12 * 7 #

+

PSD cut line

BGO contamination

BGO

GSO

p1

area a1

p2

σ2

wσ2σ1

area a2

=# +F 0 '& * & (

# *0 '& # ) . * &B *) '& * )* # ) . (D #B * 0# ! 4 0#B B E!B 8B B & ( ) - 88AB 8AB!+A # & (

, * '& )# * & . (D B . (D , - ! * & (B '& * "A & . (D & B B * ) .

=# !F * '& & )(#

+

$ /- ( "

, : '& B : * ) 7 =# 8 * : ) * B F )$ B F $ ) : " B )*F $ ) # ! , $ 7 )(# B ) (# @ (B ( ) B* # )(# ) * ) # ! # : $B # *) # *) :B 9 *

Background Spectrum at 5deg (16 unit summed)

Effect of the Hit Pattern selection

10-5

10-4

100 200 300 400 500 600 700Energy (keV)

Flu

x (c

ts/s

ec/k

eV/c

m2)

top : no HPsel

2nd: HPsel by 4units

3rd : HPsel by 8units

=# 8F 7 $ '(# *

=# . * : , : 9 ) .. (DB #0 ( !. (D ( ) Q * ' 1+"! (DB ++ (D2 '& /# : 9 * # # ) ..(DB 7 * (# : # ) )*0# . ( B * * * 7 :

: ) # # ".-+.. (D ( ) , A : # # ".-+.. (DB !A : ( "A # ! #

# # . $GB

+"

rejected events

Events rejected by Hit Pattern

0

0.1

0.2

0.3

0.4

0.5

x 10-4

100 200 300 400 500 600 700Energy (keV)

flux

(cts

/s/k

eV/c

m2)

ratio of rejected events

0

0.1

0.2

0.3

0.4

0.5

100 200 300 400 500 600 700Energy (keV)

flux

(cts

/s/k

eV/c

m2)

=# .F 12 # * : ) $ 1)*2 :

: ".-+.. (D 1A2 ( 1A2" ! !! .! " !

) F : )

+

B * )) / *# )B )) : ) # " ) B

- 1 . .2 E .8A 12

- 1 . .2 E .+!A 1"2

# : ) B # # # !

$ $ 5 $

* # # ) $%B )(# )

=* #* '& & 7 # 10# 2B ( ) * '& & * 7 B # )(# * 0#

# # ) .. (D B @ . * (D . (D #B )(# . * (D .. (DB . * (D ) .. (D

W00

Bg spctrum of HXD at 5 deg

10-5

10-4

100 200 300 400 500 600 700Energy (keV)

Flu

x (c

ts/s

/keV

/cm

2)

=# F )(# * $

+

# - , )

4 0 * : 7 # 0# $B 0 ( * - = - B - B 5 ' - .. B " ' B 5 "! * * * 45 / 0#B # #

, * )(# B * * 0# B # * ) , 0 ) #B ** B 0# ) B # # B *# # * *( * / B# !. (D * '

## , - -

/ 0 ) $B $% * *B . B )

=# * 9 . , * * # B *()B (D Q ' # PE. (D1=,$;2 ++A 1PK2

* # # * B ) ".-+..(D # **G ) , (D * * A .A

# 1(D2 1=,$;2 1A2 1=,$;2 . 1A2' - ++ ' ! 8 . "" .+' " . .5 . . .

) F # 9 . )

=# 45 * 9 . (D ! (D * ' # 8A 1=,$;2 (DB 8 A ! (D 45 , 45 7 ) * ) / ( ) ) # )

++

Total spectrum

Ba+Na spectrum at 5 deg (16 units summed)

0.005

0.01

0.015

0.02

0.025

0.03

0.035

0.04

100 200 300 400 500 600 700Energy (keV)

Flu

x (c

ts/s

/keV

/cm

2)

Selected spectrum

00.05

0.10.15

0.20.25

0.30.35

0.40.45

x 10-2

100 200 300 400 500 600 700Energy (keV)

Flu

x (c

ts/s

/keV

/cm

2)

=# F ** # * ) ) ' 5 "! * * 45 0# F12 * )1 2 1 2 $ 12 #* *

+!

Ba+Na at -20deg (W10)

0

0.01

0.02

0.03

0.04

0.05

0.06

0.07

0.08

0.09

100 200 300 400 500Energy (keV)

Flu

x (c

ts/s

/keV

/cm

2)

Ba 31keV

Ba 81keV

Ba 303keV

Ba 356keV

Na 511keV

=# F # * 1.2 ) . ) ' 5 "! * * 45 (D * ' # ++A 1=,$;2 .! (DB . A (D

+8

PIN W10-pin3

1

10

10 2

10 3

10 20 30 40 50 60 70 80 90 100Energy (keV)

Cou

nts

Ba 31 keV

Ba 81 keV

=# "F # * 45 1.-2 ) . ) ' "! * * 45 (D ! (D * ' # 8A 1=,$;2 (DB 8 A ! (D

&

''

, # $ %- 1$%2 ) *0B )# ) *

# # B # # , # * *

, 0 7 ) # B 0* * * * A # .. $G .. ;D # B . ($G -(D -

*B 0 * 45 ) * ($G . ;D B ($G . (D

, ## # B : '& * B ) ##

(# 0 B @# * ) *)

, 9 )(# * @# * $%B 0* # * )(# . * (D .. (DB . * (D ) .. (D -

, 0* * # :# '& *-

, 9 * - . # B 0* + (D ) ) # - ++ A 1=,$;2 (DB . A (D

!

()"'

= B 4 * ( * ( ( # # # * N ) 0 4 ( # * ) 4 * ( * B =*(5# ## *

4 ( Q*B ;( *B ;(*B =(GB # *

/* 9 * B * :) ) ;H Q( $ # * # * ) B B 4 * :)B 9(B *( )

; QG( O*( ( *B ## 4 : *(# -=# ; * * 4 *# # N( *# W

; $( &G ; * ;G # * ) * B # * 0 N #

(# ) B 4 # ( ; Q ; #* (- , N ) *

/# 7 *B * **B ; ; ) &G( # * $ (# # 4 # 4 N ) * ( *

4 * ; 5( 4 )B ; O(( B ; O(; *B ## * #

4 (# ) ; (( *B ;; * # (B ; 5( 4*B ; 5* &B ; ; Q()B ; QG5(GB ; O ) 3*B ; ; ( #B * & B **-) 4/

=B * 4 ) * ) ( # ; 0# ( ( *

!

*

>? $ 4B I / - ; JB

B 3 /* B 8-"1(B 882

>? O &#B I/ - ; JB B 1QB 88!2

>? ( B $ 4B O &#B I/ - ; %- JB !B 7B B 8- 188!2

>"? Q* B I,- 6-= %- K- JB"B B - 1882

>? ( B B I5 $ %-K**- X ,*- XJB "BB ""- 1882

>? Q* B I,- 6-= %- K- JB""" # ! B 8B ."1882

>+? ( B B I5 6 '(# $ %K**- ,- JB """ # ! B 98B !8.-!8!1882

>!? $ QB B I* - / &- * JB"B &B !-8 1882

>8? Q*B B I/ - %- JB "B &8$B "-! 1882

>.? ( B B J* %- / &- * JB B 8B "-"! 1882

>? 4 / B / - 4* --8!-.."188!2

>? ( B I * / - $ %- JB "B 188!2

>? ,5 H B B I & * * 4 * JB $ B !B 8-+ 1882

!

!

>"? 5 B ; 4(B Q*B ; Q()B I* ** * 0 6# O &B O/ /5 '&JB ! %B (&B 8.-8! 1882

>? B 5// %-8..-8-+ 1882

>? FKK # #K=K KK)#K

>+? $% * * I % /J FKK** K

>!? ; Q() B )* 4 5 * * 188!2

>8? ; #G(B B J* # 45 **-( $ %- 1$%2 -) / -JB "B B ""- 188+2

>.? $ B QB B $B B + 18!82

>? H Q(B B ID0 / - - ) # JB 4B "" 188!2

>? Q (B $ 4(B Q*B ( B $;(*B I( $ ;4# '- # /*0 $ %- JB """ #

! B 98B +" 1882

>? $ G B I* - * 64 / &- $%- JB """ # ! B 9B - 1882

>"? $ &G B I4# / - $ %- -JB "B B -" 188+2

>? )B B 88B ' %B =) / B B I %- '(#J *-)# 3 B *)#B ""

>? Q(B HB ; B 3 (B 88+

>+? &GB $B ; B 3 (B 88+

>!? ;GB B ; B 3 (B 88+

>8? Q()B ;B ; B 3 (B 88!

>.? 6B , B I9 5 * JB #-D#

>? B 5 I4 * )(# #**- * @ )J !%B / 1882 -!

>? ,))B , B 6G(B H /B . 18+"2

>? # ) Q ; 16/ 36 2