BOÄ GIAÙ O DUÏ C VAØ ÑAØ O TAÏ O KHOA COÂ NG NGHEÄ THOÂ NG TIN TRÖÔØ NG ÑAÏ I HOÏ C...

57
http://www.ebook.edu.vn BOÄ GIAÙO DUÏC VAØ ÑAØO TAÏO KHOA COÂNG NGHEÄ THOÂNG TIN TRÖÔØNG ÑAÏI HOÏC CAÀN THÔ BOÄ MOÂN VIEÃN THOÂNG VAØ TÑH LUAÄN VAÊN TOÁT NGHIEÄP ÑAÏI HOÏC Caàn Thô, Thaùng 02/ 2001 SÖÛ DUÏNG MAÙY TÍNH PC LAØM OSCILLOSCOPE THIEÁT KEÁ PHAÀN CÖÙNG Sinh vieân thöïc hieän: Giaùo vieân höôùng daãn: NGUYEÃN QUOÁC BAÛO Ths . ÑOAØN HOØA MINH MSSV : 1970561 Ths. LÖÔNG VINH QUOÁC DANH Lôùp : Ñieän Töû K23 Ñeà taøi :

Transcript of BOÄ GIAÙ O DUÏ C VAØ ÑAØ O TAÏ O KHOA COÂ NG NGHEÄ THOÂ NG TIN TRÖÔØ NG ÑAÏ I HOÏ C...

http://www.ebook.edu.vn

BOÄ GIAÙO DUÏC VAØ ÑAØO TAÏO KHOA COÂNG NGHEÄ THOÂNG TIN TRÖÔØNG ÑAÏI HOÏC CAÀN THÔ BOÄ MOÂN VIEÃN THOÂNG VAØ TÑH

LUAÄN VAÊN TOÁT NGHIEÄP ÑAÏI HOÏC

Caàn Thô, Thaùng 02/ 2001

SÖÛ DUÏNG MAÙY TÍNH PC LAØM OSCILLOSCOPE

THIEÁT KEÁ PHAÀN CÖÙNG

Sinh vieân thöïc hieän: Giaùo vieân höôùng daãn: NGUYEÃN QUOÁC BAÛO Ths . ÑOAØN HOØA MINH MSSV : 1970561 Ths. LÖÔNG VINH QUOÁC DANH Lôùp : Ñieän Töû K23

Ñeà taøi :

http://www.ebook.edu.vn

MUÏC LUÏC

Trang Lôøi caûm taï Nhaän xeùt cuûa giaùo vieân höôùng daãn Nhaän xeùt cuûa giaùo vieân phaûn bieän Muïc luïc Lôøi noùi ñaàu Phaàn I : GIÔÙI THIEÄU ÑEÀ TAØI

I.Muïc tieâu ñeà taøi .......................................................................................... 2 II. Giôùi haïn ..................................................................................................... 2 III. III.Phöông höôùng giaûi quyeát .................................................................. 2

Phaàn II : LYÙ THUYEÁT CÔ SÔÛ ............................................................................4 Chöông I.Giao tieáp maùy tính........................................................................ 5

I.Caùc vaán ñeà cô baûn veà maùy tính............................................................. 5 II.Caùc phöông phaùp ñieàu khieån vaøo ra................................................... 5 III.Phöông phaùp giao tieáp ........................................................................ 6

Chöông II.Giôùi thieäu veà giao ñoäng kyù ñieän töû ..........................................12 Chöông III.Bieán ñoåi töông töï – soá..............................................................13 Chöông IV.Giôùi thieäu caáu truùc phaàn cöùng hoï MSC51(8951)...................16

I.Khaûo saùt sô ñoà chaân 8951 vaø chöùc naêng töøng chaân ...........................16 II.Hoaït ñoäng Timer cuûa 8951..................................................................18

Phaàn III.THIEÁT KEÁ PHAÀN CÖÙNG....................................................................28 I.Toång quaùt phaàn cöùng cuûa heä thoáng .........................................................29 II.Boä ñieàu chænh bieân ñoä tính hieäu..............................................................30 II.1.Sô ñoà khoái ...............................................................................................30 II.2.Nguyeân taéc hoaït ñoäng ...........................................................................30 II.3.Sô ñoà nguyeân lyù......................................................................................30 II.3.1.Tính chaát OPAM TL082 ....................................................................31 II.3.2.Maïch khueách ñaïi ñaûo.........................................................................31 III.Boä bieán ñoåi A/D.......................................................................................32 III.1.Sô ñoà khoái .............................................................................................32 III.2.Nguyeân taéc hoaït ñoäng .........................................................................32 III.3.Sô ñoà nguyeân lyù ....................................................................................32 III.3.1.Giôùi thieäu chung veà vi maïch ADC0844 ..........................................33 III.3.2.Maïch ñeäm ngaõ vaøo ...........................................................................37 III.3.3.Maïch taïo ñieän theá tham chieáu ........................................................37

http://www.ebook.edu.vn

III.3.4.Nguyeân taéc hoaït ñoäng ......................................................................38 IV.Boä ñeám taàn soá..........................................................................................38

IV.1.Sô ñoà khoái .........................................................................................38 IV.2.Nguyeân taéc hoaït ñoäng .....................................................................39 IV.3.Sô ñoà nguyeân lyù ................................................................................39 IV.4.Sô ñoà khoái boä ñeám taàn soá ................................................................39 IV.5.Ñoaïn chöông trình ñeám taàn soá.......................................................43

V.Maïch ñeäm vaøo coång maùy tính .................................................................47 VI.Maïch nguoàn cung caáp ñieän ....................................................................47 VII.Sô ñoà maïch chi tieát ................................................................................48

Phaàn IV.KEÁT LUAÄN VAØ HÖÔÙNG PHAÙT TRIEÅN .........................................49 I.Keát quaû ñaït ñöôïc .......................................................................................49 II.Haïn cheá .....................................................................................................49 III.Höôùng daãn söû duïng.................................................................................49 IV.Höôùng phaùt trieån ñeà taøi .........................................................................49

Taøi lieäu tham khaûo................................................................................................50

http://www.ebook.edu.vn

LÔØI NOÙI ÑAÀU Ngaøy nay vôùi söï phaùt trieån cuûa khoa hoïc kyõ thuaät vaø coâng ngheä treân theá giôùi ôû nhieàu laõnh vöïc khaùc nhau ñang dieãn ra .Ñaëc bieät söï phaùt trieån nhö vuõ baûo cuûa khoa hoïc maùy tính laø moät coâng cuï hoã trôï ñaéc löïc vaø quan trong trong caùc ngaønh Coâng ngheä thoâng tin vaø töï ñoäng hoaù. Noù giuùp con ngöôøi löu tröõ, xöû lyù thoâng tin, tính toaùn,ñieâu khieån … Hôn theá nöõa noù coù theå noái keát vôùi nhau taïo thaønh moät maïng maùy tính toaøn caàu ñeå chia seõ thoâng tin giöõa caùc vuøng treân theá giôùi . Ngoaøi ra trong lónh vöïc ño löôøngù noù duøng ñeå ño caùc caùc ñaò vaät lyù moät caùch chính xaùc thoâng qua vieäc giao tieáp cuûa noù vôùi ù thieát bò ngoaïi vi . Ñeà taøi “ Söû duïng maùy tính PC laøm Oscilloscope” do thaày Ñoaøn Hoøa Minh vaø thaày Löông Vinh Quoác Danh ñöa ra nhaèm söû duïng maùy tính vaøo coâng vieäc ño löôøng vaø söû duïng maùy tính nhö moät maùy Oscilloscope thaät phuïc vuï cho vieäc nghieân cöùu , thí nghieäm , thöïc taäp vaø tröïc tieáp höôùng daãn. Coâng vieäc chính phaûi thöïc hieän trong ñeà taøi naøy laø xaây döïng moät thieát bò ngoaïi vi giao tieáp vôùi maùy tính thoâng qua coång maùy in(LPT) ñeå hieån thò daïng soùng ,bieân ñoä vaø taàn soá cuûa tính hieäu beân ngoaøi caàn khaûo saùt .

Ñeà taøi goàm hai phaàn : - Thieát keá phaàn cöùng do sinh vieân Nguyeàn Quoác Baûo thöïc hieän . -Thieát keá phaàn meàm do sinh vieân Voõ Höõu Phöôùc thöïc hieän.

Luaän vaên toát nghieäp :“ söû duïng maùy tính PC laøm Osilloscope -phaàn cöùng” coù noäi dung sau:

- Phaàn 1: Giôùi thieäu ñeà taøi. - Phaàn 2:Lyù thieát cô sôû. - Phaàn 3:Thieát keá phaàn cöùng . - Phaàn 4: Keát luaän vaø höôùng phaùt trieån.

Trong quùa trình thöïc hieän ñeà taøi ,do thôøi gian haïn cheá neân chuùng em gaëp raát nhieàu khoù khaên ,maëc duø coù söï coá gaéng ,nhöng chaéc chaén khoâng traùnh thieáu soùt .Mong nhaän ñöôïc caùc yù kieán ñoùng goùp cuûa quyù Thaày Coâ vaø caùc baïn sinh vieân.

Caàn Thô, ngaøy thaùng 02 naêm 2002

Nguyeãn Quoác Baûo

http://www.ebook.edu.vn

Tieåu luaän Toát Nghieäp Giôùi Thieäu Ñeà Taøi

Trang 1

PHAÀN I

GIÔÙI THIEÄU ÑEÀ TAØI

http://www.ebook.edu.vn

Tieåu luaän Toát Nghieäp Giôùi Thieäu Ñeà Taøi

Trang 2

I. Muïc tieâu cuûa ñeà taøi: - Nghieân cöùu kyõ thuaät laäp trình thôøi gian thöïc treân PC. - Tìm hieåu caùc chuaån giao tieáp cuûa coång song song vaø phaàn cöùng beân ngoaøi. Töø ñoù thieát keá phaàn cöùng giao tieáp vôùi PC vaø vieát chöông trình treân PC ñeå

ñieàu khieån phaàn cöùng thöïc hieän caùc chöùc naêng cuûa Oscilloscope nhaèm muïc ñích phuïc vuï nhu caàu hoïc taäp nghieân cöùu trong nhaø tröôøng.

II. Giôùi haïn: Do haïn cheá veà toác ñoä bieán ñoåi A/D cuûa linh kieän, neân ñeà taøi chæ giôùi haïn xem

ñöôïc daïng soùng cuûa tín hieäu cao nhaát laø 11KHz, ño bieân ñoä vaø taàn soá cuûa tín hieäu. III. Phöông höôùng giaûi quyeát: Ñeå thöïc hieän ñöôïc caùc chöùc naêng treân heä thoáng ñöôïc chia laøm hai phaàn laø

phaàn cöùng vaø phaàn meàm vôùi caùc giaûi phaùp giaûi quyeát nhö sau: 1) Giaûi phaùp phaàn cöùng: Phaàn cöùng ñöôïc xaây döïng treân cô sôû giao tieáp qua LPT neân toác ñoä truyeàn

döõ lieäu cuõng raát cao.Vôùi vieäc giao tieáp vôùi LPT neân vieäc laép raùp phaàn cöùng cuõng deã daøng vaø thuaän tieän.Phaàn cöùng coù khaû naêng bieán ñoåi tín hieäu ñeå xem daïng soùng, ño bieân ñoä vaø taàn soá, caùc chöùc naêng chính cuûa phaàn cöùng bao goàm ba phaàn :

- Boä phaän laáy tín hieäu caàn khaûo saùt ñeå khueách ñaïi hay haïn bieân khi tín naøy quaù nhoû hay quaù lôùn ñeå môû roäng daûi bieân ñoä cuûa tín hieäu khaûo saùt .

- Boä phaän bieán ñoåi A/D ñeå ñöa tín hieäu soá vaøo cho maùy tính xöû lyù. - Boä phaän xaùc ñònh taàn soá cuûa tín hieäu. 2) Giaûi phaùp phaàn meàm: Phaàn meàm ñieàu khieån Oscilloscope treân maùy tính ñöôïc thieát keá döïa treân phaàn

cöùng heä thoáng vaø caáu truùc maùy vi tính töông thích IBM-PC. Chöông trình phaàn meàm thöïc hieän vieäc taïo giao dieän vôùi ngöôøi duøng, giao tieáp

vôùi phaàn cöùng ñeå laáy maãu tín hieäu vaø veõ laïi noù treân maøm hình ñoà hoaï cuûa maùy tính baèng caùc ñoaïn thaúng lieân tieáp nhau. Daïng soùng cuû tín hieäu ñöôïc veõ laïi lieân tuïc baèng caùch veõ xoùa vaø veõ vôùi toác ñoä khoaûng 25 hình/giaây. Ngoaøi ra chöông trình phaàn meàm coøn thöïc hieän vieäc ñieàu khieån phaàn cöùng ñeå ñieàu chænh bieân ñoä tín hieäu ngoû vaøo vaø hieån thò ra maøm hình giaù trò trò bieân ñoä ñænh ñoái ñænh cuõng nhö taàn soá cuûa tín hieäu khi coù yeâu caàu.

Vôùi caùc chöùc naêng treân thì chöùc naêng quan troïng nhaát laø laáy maãu tín hieäu, vì ñeå khoâi phuïc laïi chính xaùc daïng soùng cuûa tín hieäu thì taàn soá laáy maãu caàn phaûi cao (taàn soá laáy maãu ít nhaát phaûi cao hôn hai laàn taàn soá cuûa tín hieäu) vaø chính xaùc. Ñoàng thôøi ñeå tín hieäu veõ laïi treân maùy tính khoâng bò troâi daït thì taàn soá laáy maãu phaûi ñoàng boä vôùi taàn soá tín hieäu ngoû vaøo. Ñeå thöïc hieän ñöôïc ñieàu naøy em vieát moät thuû tuïc phuïc vuï ngaét 08H cuûa maùy tính thöïc hieän vieäc laáy maãu tín hieäu. Ngaét naøy ñöôïc goïi töø boä ñeám 0 cuûa vi maïch 8253 maø ta coù theå thay ñoåi ñöôïc thôøi gian ngaét. Khi tín hieäu ngaét xaûy ra thì maùy tính thöïc hieän vieäc ñoïc döõ lieäu ñaõ laáy maãu töø phaàn cöùng

http://www.ebook.edu.vn

Tieåu luaän Toát Nghieäp Giôùi Thieäu Ñeà Taøi

Trang 3

(giaû söû ñaõ laáy maãu xong), ghi noù vaøo moät maûng vaø tieáp tuïc laáu maãu tieáp theo, khi laáy ñuû 400 maãu thì chu kyø ñöôïc laäp laïi. Taát nhieân toác ñoä ngaét khoâng ñöôïc cao hôn toác ñoä laáy maãu toái ña cuûa linh kieän bieán ñoåi ADC. Chöùc naêng thöù hai laø veõ laïi tín hieäu vaø thaêm doø tín hieäu ñieàu khieån töø ngöôøi söû duïng, chöùc naêng naøy ñöôïc thöùc hieän baèng moät voøng laäp. Tín hieäu ñieàu khieån töø ngöôøi duøng thoâng qua chuoät vaø vò trí caùc nuùt treân giao dieän.

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 4

PHAÀN II:

LYÙ THUYEÁT CÔ SÔÛ

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 5

CHÖÔNG I

GIAO TIEÁP MAÙY TÍNH I _ CAÙC VAÁN ÑEÀ CÔ BAÛN VEÀ MAÙY TÍNH:

Traûi qua moät thôøi gian daøi töø phaùt minh ñaàu tieân ra maùy tính cho ñeán nay, maùy tính ñaõ khoâng ngöøng naâng cao vaø phaùt trieån qua nhieàu theá heä. Tuy nhieân haàu heát maùy tính ñang phoå bieán hieän nay ñeàu coù nguoàn goác xuaát phaùt töø hoï PC (Personal Computer). Ñaàu tieân laø kieåu maùy PCXT do haõng IBM cheá taïo vôùi boä xöû lyù (CPU) 8088 cuûa haõng Intel. Ñaây laø heä thoáng xöû lyù döõ lieäu 16 bit nhöng duøng bus döõ lieäu 8 bit.

Tieáp theo ñoù laø maùy AT ra ñôøi vôùi boä xöû lyù 80286 coù tính naêng hôn haún chip 8088 cuûa maùy PC XT. Noù coù khaû naêng taïo ra boä nhôù aûo, ña nhieäm vuï, toác ñoä nhanh, ñoä tin caäy cao vaø duøng bus döõ lieäu 16 bit. Ña nhieäm (Multitasking) laø khaû naêng thöïc hieän moät luùc nhieàu nhieäm vuï: Coâng vieäc naøy thöïc hieän ñöôïc nhôø hoaùn chuyeån nhanh theo söï theo doõi cuûa CPU ñeán caùc chöông trình maø noù ñang naém quyeàn ñieàu khieån .Vieäc naøy ñöôïc thöïc hieän ngay beân trong CPU coäng vôùi moät vaøi giuùp ñôõ cuûa heä ñieàu haønh.Boä nhôù aûo (Virtull Memory) cho pheùp maùy tính laøm vieäc vôùi moät boä nhôù döôøng nhö lôùn hôn nhieàu so vôùi boä nhôù vaät lyù hieän coù: Coâng vieäc naøy thöïc hieän ñöôïc nhôø moät phaàn meàm vaø söï thieát keá phaàn cöùng cöïc kyø tinh xaûo.

Ngaøy nay caùc maùy AT 386, 486, Pentium duøng chip CPU laàn löôït laø 80386, 80486, P5 laø keát quaû cuûa trình ñoä kyõ thuaät vaø coâng ngheä hieän ñaïi. Chöông trình moät boä nhôù lôùn hôn toå tieân laø : 8088 hay 80286 cuøng vôùi nhieàu chöùc naêng môùi, theâm nöõa laø toác ñoä vi xöû lyù khoâng ngöøng ñöôïc naâng cao ñoä roäng cuûa data bus cuõng môû roäng leân 32bit roài 64 bit vôùi Pentium. II _ CAÙC PHÖÔNG PHAÙP ÑIEÀU KHIEÅN VAØO RA: 1. Vaøo ra ñieàu khieån baèng chöông trình:

Thieát bò ngoai vi ñieàu gheùp vôùi Bus heä thoáng vi xöû lyù thoâng qua caùc phaàn thích öùng veà coâng ngheä cheá taïo vaø logic. Thích öùng veà coâng ngheä cheá taïo laø ñieàu chænh möùc coâng ngheä saûn xuaát thieát bò ngoaïi vi vaø coâng ngheä saûn xuaát cuûa maïch trong heä vi xöû lyù. Thích öùng veà Logic laø nhieäm vuï taïo tín hieäu ñieàu khieån ngoaïi vi tín hieäu treân bus heä thoáng.

Trong heä vi xöû lyù moät vuøng nhôù duøng laøm nôi chöùa ñòa chæ coång vaøo ra vaø CPU xuaát hoaëc nhaäp döõ lieäu töø caùc coång vaøo ra naøy caùc leänh xuaát nhaäp In/Out Luùc naøy coång vaøo ra ñöôïc xem nhö thanh ghi ngoaøi, chuùng ñöôïc vieát vaøo hoaëc ñoïc ra nhö oâ nhôù Ram qua hai leänh treân. Ñeå phaân bieät höôùng xuaát hoaëc nhaäp döõ lieäu töø coång vaøo ra CPU phaùt ra tín hieäu ñieàu khieån ñoïc hoaëc vieát. Ñeå phaân bieät vuøng nhôù vôùi thieát bò vaøo ra CPU phaùt ra tín hieäu ñieàu khieån IO/M. Khi coù caùc leänh naøy thì caùc leänh In/Out môùi coù taùc duïng.

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 6

Ngoaøi caùc leänh qui chieáu boä nhôù, cuõng nhö khaû naêng trao ñoåi döõ lieäu giöõa thieát bò ngoaïi vi vaø heä vi xöû lyù. Luùc ñoù vaøo ra ñöôïc gaùn nhö moät ñòa chæ oâ nhôù cuûa boä nhôù. Caùc thanh ghi lieân quan tôùi coång vaøo ra ñöôïc xem nhö ngaên nhôù. Khi boä vi xöû lyù goïi ñòa chæ vaø xung ñieàu khieån ñoïc hay vieát boä nhôù khoâng caàn xaùc ñònh nôi gôûi laø boä nhôù hay thieát bò vaøo ra. Noù chæ hoûi nôi gôûi döõ lieäu vaøo trong khoaûng thôøi gian cho pheùp. Boä logic beân ngoaøi seõ giaûi maõ ñòa chæ keát hôïp vôùi xung MR, MW, ñeå choïn thieát bò maø khoâng phaân bieät ngaên nhôù hay thieát bò vaøo ra.

2. Vaøo ra ñieàu khieån baèng ngaét: Vôùi phöông phaùp ñieàu khieån vaøo ra baèng chöông trình, CPU phaûi lieân tuïc kieåm tra traïng thaùi cuûa thieát bò ngoaïi vi ñeán khi saün saøng, ñoù laø söï laõng phí thôøi gian cuûa CPU vaø chöông trình daøi vaø phöùc taïp. Khi boä vi xöû lyù coù nhieàu thieát bò ngoaïi vi CPU khoâng ñaùp öùng yeâu caàu cuûa chuùng. Coù theå ñaùp öùng yeâu caàu ngoaïi vi nhanh choùng vaø khoâng theo trình töï nhö ñònh tröôùc nhôø cô caáu ngaét CPU.

Nhôø tính chaát ñaùp öùng töùc thôøi cuûa vi xöû lyù khi coù yeâu caàu ngaét töø thieát bò ngoaïi vi do ñoù caùc ngaét thöôøng ñöôïc duøng ôû nhöõng tröôøng hôïp yeâu caàu ñap öùng nhanh, thôøi gian traû lôøi ngaén, thöïc hieän ôû baát kyø thôøi ñieåm naøo. Khi ñoù CPU phaûi chuyeån ñeán chöông trình con, yeâu caàu ngaét ôû cuoái baát kyø leänh naøo trong chöông trình chính. Caùc chöông trình con phuïc vuï ngaét coù theå löu tröõ noäi dung caùc thanh ghi vaø khoâi phuïc laïi khi thöïc hieän xong chöông trình phuïc vuï ngaét vaø tröôùc khi trôû laïi chöông trình chính.

Giao tieáp vôùi mayù tính laø trao ñoåi döõ kieän giöõa moät maùy tính vôùi moät hay nhieàu thieát bò ngoaïi vi.

Theo tieâu chuaån saûn xuaát, maùy tính giao tieáp vôùi ngöôøi söû duïng baèng hai thieát bò:

- Baøn phím ñeå nhaäp döõ lieäu - Maøn hình ñeå hieån thò

Ngoaøi ra nhaø saûn xuaát cho ta nhieàu caùch giao tieáp khaùc thoâng qua caùc port

nhö laø caùc ngoõ giao tieáp: - Giao tieáp qua port com (noái tieáp) - Giao tieáp qua port Parallel(song song) Tuøy theo tröôøng hôïp öùng duïng cuï theå maø choïn caùch giao tieáp thích hôïp.

III _ PHÖÔNG PHAÙP GIAO TIEÁP 1. Giao tieáp vôùi maùy tính thoâng qua slot card:

Beân trong maùy tính, ngoaøi nhöõng khe caém duøng cho card vaøo - ra, card maøn hình, vaãn coøn nhöõng raõnh caém ñeå troáng. Ñeå giao tieáp vôùi maùy tính, ta coù theå thieát keá card môû roäng ñeå gaén vaøo khe caém môû roäng naøy. ÔÛ maùy tính PC/XT raõnh caém

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 7

chæ coù 1 loaïi vôùi ñoä roäng 8 bit vaø tuaân theo tieâu chuaån ISA (Industry Standard Architecture). Raõnh caém theo tieâu chuaån IS coù 62 ñöôøng tín hieäu, qua caùc ñöôøng tín hieäu naøy maùy tính coù theå giao tieáp deã daøng vôùi thieát bò beân ngoaøi thoâng qua card môû roäng. Treân raõnh caém môû roäng, ngoaøi 20 ñöôøng ñòa chæ, 8 ñöôøng döõ lieäu, coøn coù moät soá ñöôøng ñieàu khieån nhö: RESET , IOR , IOW, AEN, CLK, ... Do ñoù card giao tieáp vôùi maùy tính qua slot card ñôn giaûn, soá bit coù theå taêng deã daøng, giaûm ñöôïc nhieàu linh kieän, toác ñoä truyeàn döõ lieäu nhanh (truyeàn song song). Tuy nhieân, do khe caém naèm beân trong maùy tính neân khi muoán gaén card giao tieáp vaøo thì phaûi môû naép ra, ñieàu naøy gaây baát tieän cho ngöôøi söû duïng. 2. Giao tieáp qua Serial Port (Port COM) :

IBM PC cung caáp 2 coång noái tieáp: COM1 vaø COM2. Caùc coång naøy giao tieáp theo tieâu chuaån RS232. Chuùng coù theå ñöôïc noái vôùi moät Modem ñeå duøng cho maïng ñieän thoaïi, hay noái tröïc tieáp vôùi moät maùy tính khaùc. Döõ lieäu ñöôïc truyeàn qua coång naøy theo caùch noái tieáp, nghóa laø döõ lieäu ñöôïc gôûi ñi noái tieáp nhau treân 1 ñöôøng daây. Do caùc döõ lieäu ñöôïc truyeàn ñi töøng bit moät neân toác ñoä truyeàn chaäm, caùc toác ñoä truyeàn coù theå laø 300, 600, 1200, 2400, 4800bps, 9600bps, chieàu daøi döõ lieäu coù theå laø 5, 6, 7 hoaëc 8 bit vaø keát hôïp vôùi caùc bit Start, Stop, Parity taïo thaønh moät khung (frame). Ngoaøi ra coång naøy coøn coù caùc ñieàu khieån thu (Receive), phaùt (Trans), kieåm tra. Caùch giao tieáp naøy cho pheùp khoaûng caùch truyeàn döõ lieäu xa, tuy nhieân toác ñoä truyeàn raát chaäm toác ñoä toái ña laø 20kbps.

3. Giao tieáp qua coång PRINT (Coång maùy in):

IBM PC cho pheùp söû duïng ñeán 3 coång song song coù teân laø LP1, LP2 vaø LP3. Kieåu giao tieáp song song ñöôïc duøng ñeå truyeàn döõ lieäu giöõa maùy tính vaø maùy in. Khaùc vôùi caùch giao tieáp qua Port Com, ôû caùch giao tieáp naøy döõ lieäu ñöôïc truyeàn song song cuøng moät luùc 8 bit. Vì theá noù coù theå ñaït toác ñoä cao. Connector cuûa Port naøy coù 25 chaân bao goàm 8 chaân döõ lieäu vaø caùc ñöôøng tín hieäu baét tay (Handshaking ). Taát caû caùc ñöôøng Data vaø tín hieäu ñieàu khieån ñeàu ôû möùc logic hoaøn toaøn töông thích vôùi möùc TTL. Hôn nöõa, ngöôøi laäp trình coù theå ñieàu khieån cho pheùp hoaëc khoâng cho pheùp caùc tín hieäu taïo Interrupt töø ngoõ vaøo neân vieäc giao tieáp ñôn giaûn vaø deã daøng. Tuy nhieân, giao tieáp vôùi möùc logic TTL neân khoaûng caùch truyeàn bò haïn cheá so vôùi caùch truyeàn qua Port Com, ñoàng thôøi caùp truyeàn cuõng phöùc taïp hôn. Ñoù laø nhöôïc ñieåm cuûa caùch giao tieáp naøy. 3.1.Moâ taû coång maùy in:

Coång maùy in coù taát caû 17 ñöôøng daãn bao goàm 12 ñöôøng daãn ra vaø 5 ñöôøng daãn vaøo. Caùc ñöôøng döõ lieäu töø D0 - D7 laø nhöõng ñöôøng daãn moät chieàu vaø laø ñöôøng daãn ra. Caùc ñöôøng tín hieäu vaøo ra coù choát. -

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 8

Hình 2.1.Coång maùy in -Caùc ñöôøng daãn tín hieäu ñöôïc moâ taû nhö sau: +Chaân soá 1(STROBE):Chaân ra, khi maùy tính ñöa tín hieäu naøy ra thì noù baùo cho maùy in ñoïc döõ lieäu vaøo ñeå in.Xung taùc ñoäng ôû möùc thaáp. +Chaân 2 - 9 (DATA): caùc chaân ra döõ lieäu cuûa maùy tính. +Chaân 10 ( ACK) : chaân vaøo ñeå baùo cho maùy tính bieát laø döõ lieäu ñaõ nhaän ñöôïc vaø yeâu caàøu maùy tính gôûi döõ lieäu tieáp theo. +Chaân 11 (BUSY) : chaân vaøo ñeå baùo cho maùy tính bieát laø maùy in ñang baän khoâng theå nhaän tieáp döõ lieäu töø maùy tính gôûi ra. Chaân naøy taùc ñoäng ôû möùc cao. +Chaân 12 (PE) :chaân vaøo ñeå baùo cho maùy tính bieát laø maùy in heát giaáy. Chaân naøy taùc ñoäng ôû möùc cao.

+Chaân 13 (SLCT): chaân vaøo ñeå baùo maùy tính ñang ôû traïng thaùi löïa choïn.Chaân naøy taùc ñoäng ôû möùc cao. +Chaân 14 (AUTOFEED) :chaân ra taùc ñoäng ôû möùc thaáp.Khi taùc ñoäng thì maùy töï ñoäng dòch theâm moät doøng sau khi in. +Chaân 15 (ERROR) : chaân vaøo taùc ñoäng möùc thaáp ñeå baùo maùy in ñang bò loãi. +Chaân 16 (INIT) : chaân ra taùc ñoäng möùc thaáp ñeå ñaët laïi maùy in. +Chaân 17 ( SLCTIN) : chaân ra taùc ñoäng möùc thaáp ñeå baùo maùy in ñöa döõ lieäu vaøo. +Chaân 18 - 25 (GND): laø chaân noái mass. Trong 17 ñöôøng daãn tín hieäu thì coù 5 vaøo, vì vaäy vieäc baét tay giöõa maùy tính vaø maùy in ñöôïc thöïc hieän chaúng haïn nhö khi maùy in khoâng coøn ñuû choå troáng trong boä nhôù thì noù ñöa ñeán maùy tính moät traïng thaùi (BUSY =1) töùc laø baùo maùy in ñang baän khoâng neân gôûi döõ lieäu ra nöõa. 3.2/Söï trao ñoåi vôùi caùc ñöôøng daãn tín hieäu:

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 9

D7 D6 D5 D4 D3 D2 D1 D0

a/Thanh ghi döõ lieäu (Dataregister)

D7 D6 D5 D4 D3 D2 D1 D0

Direction

b/ Thanh ghi ñieàu khieån (Controlregister)

D7 D6 D5 D4 D3 0 0 0

Error (chaân 15) SLCT(chaân 13) PE(chaân 12) ACK(chaân 10) Busy(chaân 11)

c/ Thanh ghi traïng thaùi (Statusregister)

Hình 2.2 : Thanh ghi coång maùy in cuûa maùy tính PC

Caùc ñöôøng daãn tín hieäu cuûa coång maùy in ñöôïc saép xeáp thaønh 3 thanh ghi:thanh ghi döõ lieäu, thanh ghi traïng thaùi vaø thanh ghi ñieàu khieån. Thoâng qua 3 thanh ghi naøy cho pheùp trao ñoåi thoâng tin giöõa moâi tröôøng ngoaøi vaø boä nhôù maùy tính. - Ñòa chæ thanh ghi döõ lieäu vôùi ñòa chæ cô baûn cuûa coång maùy in 378h. - Ñòa chæ thanh ghi traïng thaùi laø 379h. - Ñòa chæ thanh ghi ñieàu khieån laø 37Ah. Muoán truy xuaát döõ lieäu qua coång maùy in thì ta phaûi bieáùt ñöôïc ñòa chæ cô baûn cuûa caùc thanh ghi döõ lieäu goïi laø ñòa chæ cô baûn cuûa coång maùy in. Ñòa chæ cô baûn cuûa coång maùy in LPT1 laø 378h ñòa chæ cô baûn cuûa coång maùy in LPT2 laø 278h.

Chaân 2 -9

Chaân 1

Chaân 14

Chaân 16

Chaân 17

Chaân IRQ-ENABLE

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 10

3.3.Chuaån giao dieän song song qua IEEE 1284 LPT: Chuaån naøy qui ñònh giao dieän song song giöõa maùy tính PC vaø thieát bò ngoaïi

vi veà caùc vaán ñeà sau: - Naêm cheá ñoä truyeàn döõ lieäu . - Phöông phaùp nhaän bieát vaø thoaû thuaän cheá ñoä truyeàn . - Giao dieän vaät lyù . - Giao dieän ñieän.

Chuaån IEEE qui ñònh 5 cheá ñoä truyeàn tuyø theo höôùng vaøo ,ra hoaëc hai chieàu : -Chæ truyeàn ra :hay coøn goïi laø cheá ñoä töông thích “Centronics”.Ñaây laø cheá ñoä

giao tieáp song song cô baûn . -Chæ truyeàn vaøo :ôû traïng thaùi naøy coù theå truyeàn moät trong hai cheá ñoä :

+Cheá ñoä Nibble:Cheá ñoä naøy truyeàn song song 4 bit baèng caùc ñöôøng tín hieäu traïng thaùi .

+Cheá ñoä Byte:Cheá ñoä naøy truyeàn song song 8 bit qua ñöôøng döõ lieäu . Giao dieän song song cuûa cheá ñoä naøy coøn ñöôïc goïi laø coång hai chieàu .

-Truyeàn hai chieàu :Bao goàm hai cheá ñoä laø EPP(Enhanced Parallel Port) vaø ECP(Extended Capability Port)

+Cheá ñoä EPP coøn ñöôïc goïi laø coång song song caûi tieán ,ñöôïc caùc thieát bò khaùc maùy in söû duïng .

+Cheá ñoä ECP coøn ñöôïc goïi laø coång coù khaû naêng môû roäng ,ñöôïc caùc maùy in vaø maùy queùt theá heä môùi söû duïng

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 11

CHÖÔNG II

GIÔÙI THIEÄU VEÀ DAO ÑOÄNG KYÙ ÑIEÄN TÖÛ (ELECTRONIC OSCILLOSCOPE)

Dao ñoäng kyù ñieän töû (coøn goïi laø maùy hieän soùng ñieän töû) bao goàm moät oáng

phoùng tia ñieän töû vaø maïch ñieän töû ñeå ñieàu khieån vaø ñöa tín hieâuh vaøo .Dao ñoäng kyù ñieän töû ñöôïc söû duïng ñeå quan saùt daïng tín hieäu ñoàng thôøi ño moät soá ñaïi löôïng .

Sô ñoà khoái cuûa moät Oscilloscope thoâng duïng nhö sau : Keânh Y Y Y

B1 Ñoàng boä trong B2 X B3 X

Tín hieäu Y ñöôïc ñöa vaøo qua boä phaân aùp vaøo ñeán boä khueách ñaïi Y (KÑY) vaø ñöôïc ñöa thaúng vaøo hai baûn cöïc Y(tröôøng hôïp neáu tính hieäu ñuû lôùn thì khoâng caàn qua boä khueách ñaïi nöõa ).

Tín hieäu töø boä KÑY ñöôïc ñöa qua maïch ñoàng boä ÑB ñeå kích thích maùy phaùt raêng cöa (maùy phaùt queùt ) sau ñoá qua KÑX ñöa vaøo baûn cöïc X.

Maët khaùc coù theå ñöa tröïc tieáp tín hieäu X vaøo boä KÑX noái vaøo baûn cöïc X qua coâng taéc B3 .

Phaân aùp vaøo

Khueách ñaïi Y

Chuaån bieân ñoä

Chuaån thôøi gian ÑK

tia

KÑ X

Maùy phaùt raêng cöa

Maïch ÑB1

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 12

Tröông hôïp muoán söû duïng ñoàngboä ngoaøi qua B2 tín hieäu ñöa thaúng vaøo maïch ñoàng boä ñeå kích thích cho maùy phaùt queùt laøm vieäc .

Theo nguyeân lyù laøm vieäc ta coù theå phaân thaønh caùc loaïi dao ñoäng kyù ñieän töû sau:

1.Dao ñoäng kyù coù chöùc naêng thoâng duïng laø loaïi dao ñoäng kyù phoå bieán nhaát vaø thöôøng ñöôïc söû duïng ñeå khaûo saùt caùc quaù trình coù taàn soá thaáp ,caùc tín hieäu xung ñeå kieåm tra caùc thieát bò ñieän töû .Daûi taàn soá cuûa caùc loaïi dao ñoäng kyù naøy ñeán 100MHz,daûi ñieän aùp töø vaøi milivoân ñeán haøng traêm voân.

2.Dao ñoäng kyù vaïn naêng laø loaïi dao ñoäng kyù coù nhieàu öùng duïng baèng caùch coù theå thay theá nhieàu maûng khaùc nhau tuøy thuoäc vaøo chöùc naêng maø ta muoán söû duïng .Loaïi dao ñoäng kyù naøy ñöôïc söû duïng ñeå khaûo saùt caùc tín hieäu ña haøi cuõng nhö tín hieäu xung vuoâng.Daûi tín hieäu cuõng coù theå ñaït ñeán haøng traêm MHz.Daûi ñieän aùp töø haøng chuïc microâvoân ñeán haøng traêm voân.

3.Dao ñoäng kyù toác ñoä nhanh laø loaïi dao ñoäng kyù ñeû quan saùt vaø ghi laïi caùc tín hieäu xung ngaén ,caùc tín hieäu quaù ñoä ,caùc xung hay tín hieäu tuaàn hoaøn coù taàn soá cao .Daûi taàn soá coù theå leân ñeán haøng chuïc GHz.ÔÛ laoïi dao ñoäng naøy ngöôøi ta söû duïng loaïi oáng tia ñieän töû coù maøn huyønh quang soùng vôùi heä thoáng hoäi tuï töø tröôøng .

4.Dao ñoäng kyù laáy maãu laø loaïi dao ñoïng kyù duøng ñeå ghi laïi nhöõng tín hieäu tuaàn hoaøn trong daûi taàn soá roäng ñeán vaøi GHz .Daûi ñieän aùp töû mV ñeán vaøi voân coù theå ghi moät hai tín hieäu caàn khaûo saùt .

5.Dao ñoäng kyù nhôù laø loaïi dao ñoäng kyù ñeû khaûo saùt caùc loaïi tín hieäu töùc thôøi ,tuaàn hoaøn chaäm ,hay tín hieäu ngaén ,tín hieäu quaù ñoä ….Daûi taàn soá coù theå ñeán 150MHz.Daûi tín hieäu töø haøng chuïc mV ñeán haøng traêm voân.Coù theå ghi hai tín hieäu cuøng moät luùc .

6.Dao ñoäng kyù ñaëc tröng laø loaïi dao ñoäng kyù ñeå khaûo saùt caùc tín hieäu voâ tuyeán truyeàn hình .Noù caøi ñaët boä di pha cho pheùp khaûo saùt baát kyø ñoaïn naøo cuûa tín hieäu truyeàn hình vôùi ñoä oån ñònh theo thôøi gian cao.

7.Dao ñoäng kyù soá laø loaïi dao ñoäng kyù coù nhôù soá .Nguyeân lyù laøm vieäc döïa treân vieäc soá hoùa tín hieäu khaûo saùt nhôø boä chuyeån ñoåi A/D.Caùc maåu ñöôïc ghi vaøo boä nhôù ,sau ñoù ñöôïc bieán trôû laïi thaønh töông töï cho caùc muïc ñích hieän hình .

8.Dao ñoäng kyù coù caøi ñaët uP laø loaïi dao ñoäng kyù soá “thoâng minh” moïi chöùc naêng cuûa dao ñoäng kyù ñeàu do uP ñieàu khieån .Nhôø coù uP maø coù theå töï ñoäng choïn thang ño ,töï ñoäng tính giaù tri khoaûng thôøi gian vaø khoaûng ñieän aùp .

Caùc loaïi oáng phoùng tia ñieän töû hieän ñaïi coù theå quan saùt ñöôïc tín hieäu khoâng bò meùo vôùi daûi taàn töø haøng traêm ñeán haøng nghìn MHz .Vì vaäy daûi taàn cuûa dao ñoäng kyù ñöôïc xaùc ñònh chuû yeáu laø do ñaëc tính taàn cuûa boä khueùch ñaïi ôû ñaàu vaøo .Neáu ta choïn khueách ñaïi coù ñaëc tính bieân taàn khoâng ñuùng thì khi khaûo saùt caùc tín hieäu xung hay tuaàn hoaøn khoâng phaûi hình sin seõ bò meoù .Khi khaûo saùt caùc xung nhanh ,töùc laø ñaëc tính phoå cuûa noù chöùa raát nhieàu soùng ña haøi thì dao ñoäng kyù ñoøi hoûi phaûi coù daûi taàn lôùn nhaát .

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 13

CHÖÔNG III

BIEÁN ÑOÅI TÖÔNG TÖÏ – SOÁ Bieán ñoåi töông töï – soá (analog – digital) laø thaønh phaàn caàn thieát trong vieäc xöû lyù thoâng tin vaø caùc caùch ñieàu khieån söû duïng phöông phaùp soá. Tín hieäu thöïc ôû Analog. Moät heä thoáng tieáp nhaän döõ lieäu phaûi coù caùc boä phaän giao tieáp Analog – Digital (A/D). Caùc boä chuyeån ñoåi töông töï soá, vieát taét laø ADC thöïc hieän hai chöùc naêng cô baûn laø löôïng töû hoùa vaø maõ hoùa. Löôïng töû hoùa laø gaùn cho nhöõng maõ nhò phaân cho töøng giaù trò rôøi raïc sinh ra trong quaù trình löôïng töû hoùa.

1. Quan heä In – Out: Bieán ñoåi AD coù tính chaát tæ leä. Tín hieäu vaøo Analog ñöôïc bieán ñoåi thaønh moät phaân soá X baèng caùch so saùnh vôùi tín hieäu tham chieáu Vref. Ñaàu ra cuûa boä ADC laø maõ cuûa phaân soá naøy. Baát kyø moät sai soá tín hieäu Vref naøo cuõng seõ daãn ñeán sai soá möùc ra, vì vaäy ngöôøi ta coá gaén giöõ cho Vref caøng oån ñònh caøng toát. Vref Vin Digital output

Hình 2.1 Quan heä vaøo ra caùc khoái ADC

Neáu boä ADC xuaát maõ ra goàm n bit thì soá möùc ra rôøi raïc laø 2n. Ñoái quan heä tuyeán tính, taàn vaøo ñöôïc löôïng töû hoùa theo ñuùng möùc naøy. Moãi möùc nhö vaäy laø moät tín hieäu Analog ñöôïc phaân bieät vôùi hai maõ keá tieáp nhau, noù chính laø kích thöôùc cuûa LSB (Least Significant Bit). FS

Q=LSB= 2N

Trong ñoù : Q : Löôïng töû LSB : bit coù troïng soá thaáp nhaát FS : giaù trò toaøn thang

ADC

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 14

Taát caû caùc giaù trò Analog cuûa löôïng töû Q ñöôïc bieåu dieãn bôûi maõ soá, maø maõ naøy töông öùng vôùi giaù trò trung bình cuûa löôïng töû (coù theå hieåu laø giöõa khoaûng LSB)

goïi laø möùc ngöôõng. Caùc giaù trò Analog naèm trong khoaûng töø möùc ngöôõng sai bieät ñi ± ½ LSB vaãn ñöôïc theå hieän baèng cuøng moät maõù, ñoù laø sai soá löôïng töû hoùa. Sai soá naøy coù theå seõ giaûm ñi baèng caùch taêng soá bit trong maõ ra boä ADC.

2 – Ñoä phaân giaûi: Laø giaù trò bieán ñoåi nhoû nhaát cuûa tín hieäu vaøo ra ñöôïc yeâu caàu ñeå thay ñoåi maõ leân moät möùc. Ñoä phaân giaûi ñöôïc ñöa ra vôùi giaû thieát lyù töôûng.

3 – Ñoä chính xaùc: Söï sai bieät giöõa caùc giaù trò ñieän aùp tín hieäu vaøo so vôùi giaù trò FS töông ñöông vôùi maõ xuaát ra. Thöôøng coù ghi trong ñaëc tính cuûa caùc boä ADC thöông maïi.

4 – ADC: Tuøy theo coâng ngheä cheá taïo maø boä ADC coù ñaàu vaøo ñôn cöïc hay löôõng cöïc, ña soá naèm trong khoaûng 0…5V hoaëc 0…10V ñoái vôùi ñôn cöïc vaø -5…+5V hoaëc –10V…+10V ñoái vôùi ADC löôõng cöïc. Tín hieäu vaøo caàn phuø hôïp vôùi taàm vaøo xaùc ñònh cho töøng boä ADC. Neáu ñaàu vaøo khoâng heát thang seõ taïo maõ voâ duïng ôû ñaàu ra. Vaán ñeà naøy ñöôïc giaûi quyeát baèng caùch choïn taàm ñaàu vaøo boä ADC sau ñoù chænh ñoä lôïi thích hôïp cho ñaàu vaøo cuûa nguoàn Analog. Khi söû duïng boä ADC ñôn cöïc maø coù tín hieäu vaøo laø löôõng cöïc trong khoaûng ±Vpp thì ta caàn phaûi coäng ñieän aùp vaøo Vi vôùi moät ñieän aùp neàn baèng +Vpp, khi ñoù ta seõ coù Vi naèm trong khoaûng 0..+2Vpp; tín hieäu naøy seõ ñöôïc ñöa tôùi ñaàu vaøo boä ADC. Neáu söû duïng ADC löôõng cöïc thì khoâng caàn coäng tín hieäu vaø ñaàu ra ta seõ nhaän ñöôïc maõ löôõng cöïc.

5 – Ñaàu ra boä ADC:

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 15

Ña soá caùc ADC coù ñaàu ra 8 Bits, 16 Bits … duø vaäy cuõng coù loaïi 3½ Digit, maõ BCD, 10 Bits, 14 Bits. Ñaàu caùc boä ADC thöôøng laø maõ nhò phaân töï nhieân hoaëc coù daáu. ADC duøng cho maùy ño chæ thò soá ña duïng thöôøng laø maõ BCD. 5 – Tín hieäu tham chieáu Vr: Vi+ (EOC) End of Convertion Vi - OE (Output Enable) Vr Start Digital Output Clock

Caùc ngoõ vaøo, ra chính cuûa boä ADC Hình veõ cho thaáy ñaàu vaøo vaø ñaàu ra cuûa boä ADC. Moïi ADC ñeàu yeâu caàu coù tín hieäu Vr. Baát kyø moät sai soá naøo treân Vr ñeàu gaây ra loãi ñoä lôïi ôû ñaëc tính cuûa AD. Vì vaäy Vr laø tín hieäu ñaûm baûo ñoä chính xaùc vaø oån ñònh cuûa boä AD. Duøng IC oån aùp coù theå thoûa maõn ñieàu naøy.

7 – Tín hieäu ñieàu khieån: Moïi boä ADC ñeàu coù tính xung Clock vaø tín hieäu ñieàu khieån ñeå hoaït ñoäng. Thieát bò ngoaøi giao tieáp vôùi ADC seõ khôûi ñoäng quaù trình AD baèng caùch phaùt moät xung Start vaøo ñaàu vaøo Start cuûa ADC, ADC seõ nhaän bieát caïnh leân cuûa xung Start vaø ngay sau ñoù noù seõ keùo ñöôøng EOC (End of Conversion) xuoáng thaáp (khoâng tích cöïc). Luùc naøy ADC ñang thöïc hieän quaù trình bieán ñoåi, töông öùng vôùi moãi xung Clock ñöa vaøo ADC seõ thöïc hieän ñöôïc moät böôùc bieán ñoåi, sau moät böôùc nhaát ñònh tuøy theo boä ADC, thì quaù trình bieán ñoåi hoaøn thaønh. Khi bieán ñoåi xong, AD seõ naâng ñöôøng EOC leân möùc cao, tín hieäu naøy coù theå duøng ñeå kích moät ngaét cöùng cuûa maùy tính (neáu duøng giao tieáp vôùi maùy tính). Ñeå ñoïc ñöôïc döõ lieäu ñaàu ra cuûa boä ADC thì phaûi naâng ñöôøng OE (Output Enable) cuûa ADC leân möùc cao, sau khi ñoïc xong thì laïi traû ñöôøng naøy veà möùc thaáp.

8.Caùc kyõ thuaät ADC: - ADC coù Vr daïng naác thang. - ADC thaêng baèng lieân tuïc . - Phöông phaùp bieán ñoåi ADC haøm doác tuyeán tính. - ADC xaáp xæ lieân tieáp . - ADC tích phaân hai ñoä doác . - ADC duøng bieán ñoåi V-F(ñieän aùp –taàn soá ). - ADC song song.

ADC

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 16

CHÖÔNG IV

GIÔÙI THIEÄU CAÁU TRUÙC PHAÀN CÖÙNG HOÏ MSC-51 (8951)

Ñaëc ñieåm vaø chöùc naêng hoaït ñoäng cuûa caùc IC hoï MSC-51 hoaøn toaøn töông töï nhö nhau. ÔÛ ñaây giôùi thieäu IC 8951 laø moät hoï IC vi ñieàu khieån do haõng Intel cuûa Myõ saûn xuaát. Chuùng coù caùc ñaëc ñieåm chung nhö sau: Caùc ñaëc ñieåm cuûa 8951 ñöôïc toùm taét nhö sau: 4 KB EPROM beân trong.

• 128 Byte RAM noäi. • 4 Port xuaát /nhaäp I/O 8 bit. • Giao tieáp noái tieáp. • 64 KB vuøng nhôù maõ ngoaøi • 64 KB vuøng nhôù döõ lieäu ngoaïi. • Xöû lyù Boolean (hoaït ñoäng treân bit ñôn). • 210 vò trí nhôù coù theå ñònh vò bit. • 4μs cho hoaït ñoäng nhaân hoaëc chia.

I. Khaûo saùt sô ñoà chaân 8951 vaø chöùc naêng töøng chaân: I.1 Sô ñoà chaân 8951:

Sô ñoà chaân IC 8951

I.2 Chöùc naêng caùc chaân cuûa 8951 8951 coù taát caû 40 chaân coù chöùc naêng nhö caùc ñöôøng xuaát nhaäp. Trong ñoù coù 24 chaân coù taùc duïng keùp (coù nghóa laø 1 chaân coù 2 chöùc naêng), moãi ñöôøng coù theå hoaït ñoäng nhö ñöôøng xuaát nhaäp hoaëc nhö ñöôøng ñieàu khieån hoaëc laø thaønh phaàn cuûa caùc bus döõ lieäu vaø bus ñòa chæ.

U2

AT89C51

91819 29

30

31

12345678

2122232425262728

1011121314151617

3938373635343332

RSTXTAL2XTAL1 PSEN

ALE/PROG

EA/VPP

P1.0P1.1P1.2P1.3P1.4P1.5P1.6P1.7

P2.0/A8P2.1/A9

P2.2/A10P2.3/A11P2.4/A12P2.5/A13P2.6/A14P2.7/A15

P3.0/RXDP3.1/TXD

P3.2/INTOP3.3/INT1

P3.4/TOP3.5/T1

P3.6/WRP3.7/RD

P0.0/AD0P0.1/AD1P0.2/AD2P0.3/AD3P0.4/AD4P0.5/AD5P0.6/AD6P0.7/AD7

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 17

a.Caùc Port: Port 0:

Port 0 laø port coù 2 chöùc naêng ôû caùc chaân 32 - 39 cuûa 8951. Trong caùc thieát keá côõ nhoû khoâng duøng boä nhôù môû roäng noù coù chöùc naêng nhö caùc ñöôøng I/O. Ñoái vôùi caùc thieát keá côõ lôùn coù boä nhôù môû roäng, noù ñöôïc keát hôïp giöõa bus ñòa chæ vaø bus döõ lieäu.

Port 1: Port 1 laø port I/O treân caùc chaân 1-8. Caùc chaân ñöôïc kyù hieäu P1.0, P1.1, p1.2,

... p1.7 coù theå duøng cho giao tieáp vôùi caùc thieát bò ngoaøi neáu caàn. Port 1 khoâng coù chöùc naêng khaùc, vì vaäy chuùng chæ ñöôïc duøng cho giao tieáp vôùi caùc thieát bò beân ngoaøi.

Port 2: Port 2 laø 1 port coù taùc duïng keùp treân caùc chaân 21- 28 ñöôïc duøng nhö caùc ñöôøng

xuaát nhaäp hoaëc laø byte cao cuûa bus ñòa chæ ñoái vôùi caùc thieát bò duøng boä nhôù môû roäng.

Port 3: Port 3 laø port coù taùc duïng keùp treân caùc chaân 10-17. Caùc chaân cuûa port naøy

coù nhieàu chöùc naêng, caùc coâng duïng chuyeån ñoåi coù lieân heä vôùi caùc ñaëc tính ñaëc bieät cuûa 8951 nhö ôû baûng sau:

Bit Teân Chöùc naêng chuyeån ñoåi P3.0 RXT Ngoõ vaøo döõ lieäu noái tieáp. P3.1 TXD Ngoõ xuaát döõ lieäu noái tieáp. P3.2 INT0\ Ngoõ vaøo ngaét cöùng thöù 0 P3.3 INT1\ Ngoõ vaøo ngaét cöùng thöù 1 P3.4 T0 Ngoõ vaøo cuûaTIMER/COUNTER thöù 0. P3.5 T1 Ngoõ vaøo cuûaTIMER/COUNTER thöù 1. P3.6 WR\ Tín hieäu ghi döõ lieäu leân boä nhôù ngoaøi P3.7 RD\ Tín hieäu ñoïc boä nhôù döõ lieäu ngoaøi.

b.Caùc ngoõ tín hieäu ñieàu khieån: Ngoõ tín hieäu PSEN (Program store enable):

PSEN laø tín hieäu ngoõ ra ôû chaân 29 coù taùc duïng cho pheùp ñoïc boä nhôù chöông trình môû roäng thöôøng ñöôïc noái ñeán chaân OE\ (output enable) cuûa Eprom cho pheùp ñoïc caùc byte maõ leänh. PSEN ôû möùc thaáp trong thôøi gian Microcontroller 8951 laáy leänh. Caùc maõ leänh cuûa chöông trình ñöôïc ñoïc töø Eprom qua bus döõ lieäu vaø ñöôïc choát vaøo thanh ghi leänh beân trong 8951 ñeå giaûi maõ leänh. Khi 8951 thi haønh chöông trình trong EPROM noäi PSEN seõ ôû möùc logic 1.

Ngoõ tín hieäu ñieàu khieån ALE (Address Latch Enable)

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 18

Khi 8951 truy xuaát boä nhôù beân ngoaøi, port 0 coù chöùc naêng laø bus ñòa chæ vaø bus döõ lieäu do ñoù phaûi taùch caùc ñöôøng döõ lieäu vaø ñòa chæ. Tín hieäu ra ALE ôû chaân thöù 30 duøng laøm tín hieäu ñieàu khieån ñeå giaûi ña hôïp caùc ñöôøng ñòa chæ vaø döõ lieäu khi keát noái chuùng vôùi IC choát. Tín hieäu ra ôû chaân ALE laø moät xung trong khoaûng thôøi gian port 0 ñoùng vai troø laø ñòa chæ thaáp neân choát ñòa chæ hoaøn toaøn töï ñoäng. Caùc xung tín hieäu ALE coù toác ñoä baèng 1/6 laàn taàn soá dao ñoäng treân chip vaø coù theå ñöôïc duøng laøm tín hieäu clock cho caùc phaàn khaùc cuûa heä thoáng. Chaân ALE ñöôïc duøng laøm ngoõ vaøo xung laäp trình cho EPROM trong 8951.

Ngoõ tín hieäu EA\(External Access): Tín hieäu vaøo EA\ ôû chaân 31 thöôøng ñöôïc maéc leân möùc 1 hoaëc möùc 0. Neáu ôû möùc 1, 8951 thi haønh chöông trình töø EPROM noäi trong khoaûng ñòa chæ thaáp 4 Kbyte. Neáu ôû möùc 0, 8951 seõ thi haønh chöông trình töø boä nhôù môû roäng. Chaân EA\ ñöôïc laáy laøm chaân caáp nguoàn 12V khi laäp trình cho Eprom trong 8951.

Ngoõ tín hieäu RST (Reset) : Ngoõ vaøo RST ôû chaân 9 laø ngoõ vaøo Reset cuûa 8951. Khi ngoõ vaøo tín hieäu naøy ñöa leân cao ít nhaát laø 2 chu kyø maùy, caùc thanh ghi beân trong ñöôïc naïp nhöõng giaù trò thích hôïp ñeå khôûi ñoäng heä thoáng. Khi caáp ñieän maïch töï ñoäng Reset.

Caùc ngoõ vaøo boä dao ñoäng X1,X2: Boä dao ñoäng ñöôïc ñöôïc tích hôïp beân trong 8951, khi söû duïng 8951 ngöôøi thieát keá chæ caàn keát noái theâm thaïch anh vaø caùc tuï nhö hình veõ trong sô ñoà. Taàn soá thaïch anh thöôøng söû duïng cho 8951 laø 12Mhz. Chaân 40 (Vcc) ñöôïc noái leân nguoàn 5V.

II. Hoaït Ñoäng Timer Cuûa 8951:

1. Giôùi Thieäu: - Boä ñònh thôøi cuûa Timer laø moät chuoãi caùc Flip Flop ñöôïc chia laøm 2, noù nhaän tín hieäu vaøo laø moät nguoàn xung clock, xung clock ñöôïc ñöa vaøo Flip Flop thöù nhaát laø xung clock cuûa Flip Flop thöù hai maø noù cuõng chia taàn soá clock naøy cho 2 vaø cöù tieáp tuïc.

- Vì moãi taàng keá tieáp chia cho 2, neân Timer n taàng phaûi chia taàn soá clock ngoõ vaøo cho 2n. Ngoõ ra cuûa taàng cuoái cuøng laø clock cuûa Flip Flop traøn Timer hoaëc côø maø noù kieåm tra bôûi phaàn meàm hoaëc sinh ra ngaét. Giaù trò nhò phaân trong caùc FF cuûa boä Timer coù theå ñöôïc nghæ nhö ñeám xung clock hoaëc caùc söï kieän quan troïng bôûi vì Timer ñöôïc khôûi ñoäng. Ví duï Timer 16 bit coù theå ñeám ñeán töø FFFFH sang 0000H.

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 19

- Hoaït ñoäng cuûa Timer ñôn giaûn 3 bit ñöôïc minh hoïa nhö sau:

Hình 1.9 : Bieåu Ñoà Thôøi Gian

- Trong hình treân moãi taàng laø moät FF loaïi D phuû ñònh taùc ñoäng caïnh xuoáng ñöôïc hoaït ñoäng ôû mode chia cho 2 (ngoõ ra Q\ ñöôïc noái vaøo D). FF côø laø moät boä choát ñôn giaûn loaïi D ñöôïc set bôûi taàng cuoái cuøng trong Timer. Trong bieåu ñoà thôøi gian, taàng ñaàu ñoåi traïng thaùi ôû ½ taàn soá clock, taàng thöù hai ñoåi traïng thaùi ôû taàn soá ¼ taàn soá clock … Soá ñeám ñöôïc bieát ôû daïng thaäp phaân vaø ñöôïc kieåm tra laïi deã daøng bôûi vieäc kieåm tra caùc taàng cuûa 3 FF. Ví duï soá ñeám “4” xuaát hieän khi Q2=1, Q1=0, Q0=0 (410=1002).

- Caùc Timer ñöôïc öùng duïng thöïc teá cho caùc hoaït ñoäng ñònh höôùng. 8951 coù 2 boä Timer 16 bit, moãi Timer coù 4 mode hoaït ñoäng. Caùc Timer duøng ñeå ñeám giôø, ñeám caùc söï kieän caàn thieát vaø söï sinh ra toác ñoä cuûa toác ñoä Baud bôûi söï gaén lieàn Port noái tieáp.

- Moãi söï ñònh thôøi laø moät Timer 16 bit, do ñoù taàng cuoái cuøng laø taàng thöù 16 seõ chia taàn soá clock vaøo cho 216 = 65.536.

- Trong caùc öùng duïng ñònh thôøi, 1 Timer ñöôïc laäp trình ñeå traøn ôû moät khoaûng thôøi gian ñeàu ñaën vaø ñöôïc set côø traøn Timer. Côø ñöôïc duøng ñeå ñoàng boä chöông trình ñeå thöïc hieän moät hoaït ñoäng nhö vieäc ñöa tôùi 1 taàng caùc ngoõ vaøo hoaëc gôûi döõ

(LSB) MSB FLAG

0 1 2 3 4 5 6 7

D Q Q0

D Q Q1

D Q Q2

D Q Q3

Flag FF

Clock

Q0 (LSB)

Q1

Q2 (MSB) Count

Flag

Hình 1.8 : Timer Flip-Flops

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 20

lieäu ñeám ngoõ ra. Caùc öùng duïng khaùc coù söû duïng vieäc ghi giôø ñeàu ñeàu cuûa Timer ñeå ño thôøi gian ñaõ troâi qua hai traïng thaùi (ví duï ño ñoä roäng xung).Vieäc ñeám moät söï kieän ñöôïc duøng ñeå xaùc ñònh soá laàn xuaát hieän cuûa söï kieän ñoù, töùc thôøi gian troâi qua giöõa caùc söï kieän.

- Caùc Timer cuûa 8951 ñöôïc truy xuaát bôûi vieäc duøng 6 thanh ghi chöùc naêng ñaëc bieät nhö sau:

Timer SFR Purpose Address Bit-Addressable

TCON Control 88H YES

TMOD Mode 89H NO

TL0 Timer 0 low-byte 8AH NO

TL1 Timer 1 low-byte 8BH NO

TH0 Timer 0 high-byte 8CH NO

TH1 Timer 1 high-byte 8DH NO

2. Thanh ghi mode timer tmod (TIMER MODE REGITER):

- Thanh ghi mode goàm hai nhoùm 4 bit laø: 4 bit thaáp ñaët mode hoaït ñoäng cho Timer 0 vaø 4 bit cao ñaët mode hoaït ñoäng cho Timer 1. 8 bit cuûa thanh ghi TMOD ñöôïc toùm taét nhö sau:

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 21

Bit Name Timer Description

7 GATE 1 Khi GATE = 1, Timer chæ laøm vieäc khi INT1=1

6 C/T 1 Bit cho ñeám söï kieän hay ghi giôø

C/T = 1 : Ñeám söï kieän

C/T = 0 : Ghi giôø ñeàu ñaën

5 M1 1 Bit choïn mode cuûa Timer 1

4 M0 1 Bit choïn mode cuûa Timer 1

3 GATE 0 Bit coång cuûa Timer 0

2 C/T 0 Bit choïn Counter/Timer cuûa Timer 0

1 M1 0 Bit choïn mode cuûa Timer 0

0 M0 0 Bit choïn mode cuûa Timer 0

Hai bit M0 vaø M1 cuûa TMOD ñeå choïn mode cho Timer 0 hoaëc Timer 1.

M1 M0 MODE DESCRIPTION

0 0 0 Mode Timer 13 bit (mode 8048)

0 1 1 Mode Timer 16 bit

1 0 2 Mode töï ñoäng naïp 8 bit

1 1 3 Mode Timer taùch ra :

Timer 0 : TL0 laø Timer 8 bit ñöôïc ñieàu khieån bôûi caùc bit cuûa Timer 0. TH0 töông töï nhöng ñöôïc

ñieàu khieån bôûi caùc bit cuûa mode Timer 1.

Timer 1 : Ñöôïc ngöøng laïi.

- TMOD khoâng coù bit ñònh vò, noù thöôøng ñöôïc LOAD moät laàn bôûi phaàn meàm ôû ñaàu chöông trình ñeå khôûi ñoäng mode Timer. Sau ñoù söï ñònh giôø coù theå döøng laïi,

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 22

ñöôïc khôûi ñoäng laïi nhö theá bôûi söï truy xuaát caùc thanh ghi chöùc naêng ñaëc bieät cuûa Timer khaùc.

3. Thanh ghi ñieàu khieån timer tcon (TIMER CONTROL REGISTER) :

- Thanh ghi ñieàu khieån bao goàm caùc bit traïng thaùi vaø caùc bit ñieàu khieån bôûi Timer 0 vaø Timer 1. Thanh ghi TCON coù bit ñònh vò. Hoaït ñoäng cuûa töøng bit ñöôïc toùm taét nhö sau:

Bit Symbol Bit Address Description

TCON.7 TF1 8FH Côø traøn Timer 1 ñöôïc set bôûi phaàn cöùng ôû söï traøn, ñöôïc xoùabôûi phaàn meàm hoaëc bôûi phaàn cöùng khi caùc vectôxöû lyù ñeán thuû tuïc phuïc vuï ngaét ISR

TCON.6 TR1 8EH Bit ñieàu khieån chaïy Timer 1 ñöôïc set hoaëc xoùa bôûi phaàn meàm ñeå chaïy hoaëc ngöng chaïy Timer.

TCON.5 TF0 8DH Côø traøn Timer 0(hoaït ñoäng töông töï TF1)

TCON.4 TR0 8CH Bit ñieàu khieån chaïy Timer 0 (gioáng TR1)

TCON.3 IE1 8BH Côø kieåu ngaét 1 ngoaøi. Khi caïnh xuoángxuaát hieän treân INT1 thì IE1 ñöôïc xoùa bôûiphaàn meàm hoaëc phaàn cöùng khi CPU ñònhhöôùng ñeán thuû tuïc phuïc vuï ngaét ngoaøi.

TCON.2 IT1 8AH Côø kieåu ngaét 1 ngoaøi ñöôïc set hoaëc xoùabaèng phaán meàm bôûi caïnh kích hoaït bôûisöï ngaét ngoaøi.

TCON.1 IE0 89H Côø caïnh ngaét 0 ngoaøi

TCON IT0 88H Côø kieåu ngaét 0 ngoaøi.

4. Caùc mode vaø côø traøn (TIMER MODES AND OVERFLOW) :

- 8951 coù 2ø Timer laø Timer 0 vaø timer 1. Ta duøng kyù hieäu TLx vaø Thx ñeå chæ 2 thanh ghi byte thaáp vaø byte cao cuûa Timer 0 hoaëc Tmer 1.

4.1. Mode Timer 13 bit (MODE 0) :

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 23

- Mode 0 laø mode Timer 13 bit, trong ñoù byte cao cuûa Timer (Thx) ñöôïc ñaët thaáp vaø 5 bit troïng soá thaáp nhaát cuûa byte thaáp Timer (TLx) ñaët cao ñeå hôïp thaønh Timer 13 bit. 3 bit cao cuûa TLx khoâng duøng.

4.2. Mode Timer 16 bit (MODE 1):

Overflow

- Mode 1 laø mode Timer 16 bit, töông töï nhö mode 0 ngoaïi tröø Timer naøy hoaït ñoäng nhö moät Timer ñaày ñuû 16 bit, xung clock ñöôïc duøng vôùi söï keát hôïp caùc thanh ghi cao vaø thaáp (TLx, THx). Khi xung clock ñöôïc nhaän vaøo, boä ñeám Timer taêng leân 0000H, 0001H, 0002H, . . ., vaø moät söï traøn seõ xuaát hieän khi coù söï chuyeån treân boä ñeám Timer töø FFFH sang 0000H vaø seõ set côø traøn Time, sau ñoù Timer ñeám tieáp.

- Côø traøn laø bit TFx trong thanh ghi TCON maø noù seõ ñöôïc ñoïc hoaëc ghi bôûi phaàn meàm.

- Bit coù troïng soá lôùn nhaát (MSB) cuûa giaù trò trong thanh ghi Timer laø bit 7 cuûa THx vaø bit coù troïng soá thaáp nhaát (LSB) laø bit 0 cuûa TLx. Bit LSB ñoåi traïng thaùi ôû taàn soá clock vaøo ñöôïc chia 216 = 65.536.

- Caùc thanh ghi Timer TLx vaø Thx coù theå ñöôïc ñoïc hoaëc ghi taïi baát kyø thôøi ñieåm naøo bôûi phaàn meàm.

4..3. Mode töï ñoäng naïp 8 bit (MODE 2) :

Overflow

Reload

- Mode 2 laø mode töï ñoäng naïp 8 bit, byte thaáp TLx cuûa Timer hoaït ñoäng nhö moät Timer 8 bit trong khi byte cao THx cuûa Timer giöõ giaù trò Reload. Khi boä ñeám traøn töø FFH sang 00H, khoâng chæ côø traøn ñöôïc set maø giaù trò trong THx cuõng ñöôïc naïp vaøo TLx: Boä ñeám ñöôïc tieáp tuïc töø giaù trò naøy leân ñeán söï chuyeån traïng thaùi töø

TLx (5 bit) THx (8 bit) TFx Timer Clock

TLx (8 bit) THx (8 bit) TFx Timer Clock

Timer Clock TL x (8 bit) TFx

TH x (8 bit)

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 24

FFH sang 00H keá tieáp vaø cöù theá tieáp tuïc. Mode naøy thì phuø hôïp bôûi vì caùc söï traøn xuaát hieän cuï theå maø moãi luùc nghæ thanh ghi TMOD vaø THx ñöôïc khôûi ñoäng.

4.4 Mode Timer taùch ra (MODE 3):

Over

Over

- Mode 3 laø mode Timer taùch ra vaø laø söï khaùc bieät cho moãi Timer.

- Timer 0 ôû mode 3 ñöôïc chia laø 2 timer 8 bit. TL0 vaø TH0 hoaït ñoäng nhö nhöõng Timer rieâng leû vôùi söï traøn seõ set caùc bit TL0 vaø TF1 töông öùng.

- Timer 1 bò döøng laïi ôû mode 3, nhöng coù theå ñöôïc khôûi ñoäng bôûi vieäc ngaét noù vaøo moät trong caùc mode khaùc. Chæ coù nhöôïc ñieåm laø côø traøn TF1 cuûa Timer 1 khoâng bò aûnh höôûng bôûi caùc söï traøn cuûa Timer 1 bôûi vì TF1 ñöôïc noái vôùi TH0.

- Mode 3 cung caáp 1 Timer ngoaïi 8 bit laø Timer thöù ba cuûa 8951. Khi vaøo Timer 0 ôû mode 3, Timer coù theå hoaït ñoäng hoaëc taét bôûi söï ngaét noù ra ngoaøi vaø vaøo trong mode cuûa chính noù hoaëc coù theå ñöôïc duøng bôûi Port noái tieáp nhö laø moät maùy phaùt toác ñoä Baud, hoaëc noù coù theå duøng trong höôùng naøo ñoù maø khoâng söû duïng Interrupt.

5. Caùc nguoàn xung clock (CLOCK SOURCES):

Coù hai nguoàn xung clock coù theå ñeám giôø laø söï ñònh giôø beân trong vaø söï ñeám söï kieän beân ngoaøi. Bit C/T trong TMOD cho pheùp choïn 1 trong 2 khi Timer ñöôïc khôûi ñoäng.

TL1 (8 bit) TH1 (8 bit)

TL1 (8 bit)

TH0 (8 bit)

TF0

TF1

Timer Clock

Timer Clock

Timer Clock

On Chip Osillator

÷12

C/T

T0 or T1 pin

Timer Clock

0 = Up (internal Timing) 1 = Down (Event Counting)

Crystal

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 25

5.1 Söï baám giôø beân trong (Interval Timing):

- Neáu bit C/T = 0 thì hoaït ñoäng cuûa Timer lieân tuïc ñöôïc choïn vaøo boä Timer ñöôïc ghi giôø töø dao ñoäng treân Chip. Moät boä chia 12 ñöôïc theâm vaøo ñeå giaûm taàn soá clock ñeán 1 giaù trò phuø hôïp vôùi caùc öùng duïng. Caùc thanh ghi TLx vaø THx taêng ôû toác ñoä 1/12 laàn taàn soá dao ñoäng treân Chip. Neáu duøng thaïch anh 12MHz thì seõ ñöa ñeán toác ñoä clock 1MHz.

- Caùc söï traøn Timer sinh ra sau moät con soá coá ñònh cuûa nhöõng xung clock, noù phuï thuoäc vaøo giaù trò khôûi taïo ñöôïc LOAD vaøo caùc thanh ghi THx vaø TLx.

5.2 Söï ñeám caùc söï kieän (Event Counting):

- Neáu bit C/T = 1 thì boä Timer ñöôïc ghi giôø töø nguoàn beân ngoaøi trong nhieàu öùng duïng, nguoàn beân ngoaøi naøy cung caáp 1 söï ñònh giôø vôùi 1 xung treân söï xaûy ra cuûa söï kieän. Söï ñònh giôø laø söï ñeám söï kieän. Con soá söï kieän ñöôïc xaùc ñònh trong phaàn meàm bôûi vieäc ñoïc caùc thanh ghi Timer. Tlx/THx, bôûi vì giaù trò 16 bit trong caùc thanh naøy taêng leân cho moãi söï kieän.

- Nguoàn xung clock beân ngoaøi ñöa vaøo chaân P3.4 laø ngoõ nhaäp cuûa xung clock bôûi Timer 0 (T0) vaø P3.5 laø ngoõ nhaäp cuûa xung clock bôûi Timer 1 (T1).

- Trong caùc öùng duïng ñeám caùc thanh ghi Timer ñöôïc taêng trong ñaùp öùng cuûa söï chuyeån traïng thaùi töø 1 sang 0 ôû ngoõ nhaäp Tx. Ngoõ nhaäp beân ngoaøi ñöôïc thöû trong suoát S5P2 cuûa moïi chu kyø maùy: Do ñoù khi ngoõ nhaäp ñöa tôùi möùc cao trong moät chu kyø vaø möùc thaáp trong moät chu kyø keá tieáp thì boä ñeám taêng leân moät. Giaù trò môùi xuaát hieän trong caùc thanh ghi Timer trong suoát S5P1 cuûa chu kyø theo sau moät söï chuyeån ñoåi. Bôûi vì noù chieám 2 chu kyø maùy (2μs) ñeå nhaän ra söï chuyeån ñoåi töø 1 sang 0, neân taàn soá beân ngoaøi lôùn nhaát laø 500KHz neáu dao ñoäng thaïch anh 12 MHz.

6. Söï baét ñaàu, keát thuùc vaø söï ñieàu khieån caùc timer (STARTING, STOPPING AND CONTROLLING THE TIMER):

- Bit TRx trong thanh ghi coù bit ñònh vò TCON ñöôïc ñieàu khieån bôûi phaàn meàm ñeå baét ñaàu hoaëc keát thuùc caùc Timer. Ñeå baéêt ñaàu caùc Timer ta set bit TRx vaø ñeå keát thuùc Timer ta Clear TRx. Ví duï Timer 0 ñöôïc baét ñaàu bôûi leänh SETB TR0 vaø ñöôïc keát thuùc bôûi leänh CLR TR0 (bit Gate= 0). Bit TRx bò xoùa sau söï reset heä thoáng, do ñoù caùc Timer bò caám baèng söï maëc ñònh.

- Theâm phöông phaùp nöõa ñeå ñieàu khieån caùc Timer laø duøng bit GATE trong thanh ghi TMOD vaø ngoõ nhaäp beân ngoaøi INTx. Ñieàu naøy ñöôïc duøng ñeå ño caùc ñoä roäng xung. Giaû söû xung ñöa vaøo chaân INT0 ta khôûi ñoäng Timer 0 cho mode 1 laø

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 26

mode Timer 16 bit vôùi TL0/TH0 = 0000H, GATE = 1, TR0 = 1. Nhö vaäy khi INT0 = 1 thì Timer “ñöôïc môû coång” vaø ghi giôø vôùi toác ñoä cuûa taàn soá 1MHz. Khi INT0 xuoáng thaáp thì Timer “ñoùng coång” vaø khoaûng thôøi gian cuûa xung tính baèng μs laø söï ñeám ñöôïc trong thanh ghi TL0/TH0.

Hình 1.11 : Timer Operating Mode 1.

7. Söï khôûi ñoäng vaø truy xuaát caùc thanh ghi timer: - Caùc Timer ñöôïc khôûi ñoäng 1 laàn ôû ñaàu chöông trình ñeå ñaët mode hoaït ñoäng cho chuùng. Sau ñoù trong chöông trình caùc Timer ñöôïc baét ñaàu, ñöôïc xoùa, caùc thanh ghi Timer ñöôïc ñoïc vaø caäp nhaät . . . theo yeâu caàu cuûa töøng öùng duïng cuï theå.

- Mode Timer TMOD laø thanh ghi ñaàu tieân ñöôïc khôûi gaùn, bôûi vì ñaët mode hoaït ñoäng cho caùc Timer. Ví duï khôûi ñoäng cho Timer 1 hoaït ñoäng ôû mode 1 (mode Timer 16bit) vaø ñöôïc ghi giôø baèng dao ñoäng treân Chip ta duøng leänh: MOV TMOD,# 00001000B.

- Trong leänh naøy M1 = 0, M0 = 1 ñeå vaøo mode 1 vaø C/T = 0, GATE=0 ñeå cho pheùp ghi giôø beân trong ñoàng thôøi xoùa caùc bit mode cuûa Timer 0. Sau leänh treân Timer vaãn chöa ñeám giôø, noù chæ baét ñaàu ñeám giôø khi set bit ñieààu khieåân chaïy TR1 cuûa noù.

- Neáu ta khoâng khôûi gaùn giaù trò ñaàu cho caùc thanh ghi TLx/THx thì Timer seõ baét ñaàu ñeám töø 0000H leân vaø khi traøn töø FFFFH sang 0000H noù seõ baét ñaàu traøn TFx roài tieáp tuïc ñeám töø 0000H leân tieáp . . .

- Neáu ta khôûi gaùn giaù trò ñaàu cho TLx/THx, thì Timer seõ baét ñaàu ñeám töø giaù trò khôûi gaùn ñoù leân nhöng khi traøn töø FFFFH sang 0000H laïi ñeám töø 0000H leân.

INTO (P3.2)

16 Bit 0 = Up 0 = Up 1 = Down 1 = Down

On Chip Osillator ÷ 12

TL0 TH TF0

C/T

TR0

GATE

12 MHz T0 (P3.4)

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 27

- Chuù yù raèng côø traøn TFx töï ñoäng ñöôïc set bôûi phaàn cöùng sau moãi söï traøn vaø seõ ñöôïc xoùa bôûi phaàn meàm. Chính vì vaäy ta coù theå laäp trình chôø sau moãi laàn traøn ta seõ xoùa côø TFx vaø quay voøng laëp khôûi gaùn cho TLx/THx ñeå Timer luoân luoân baét ñaàu ñeám töø giaù trò khôûi gaùn leân theo yù ta mong muoán.

- Ñaëc bieät nhöõng söï khôûi gaùn nhoû hôn 256 μs, ta seõ goïi mode Timer töï ñoäng naïp 8 bit cuûa mode 2. Sau khi khôûi gaùn giaù trò ñaàu vaøo THx, khi set bit TRx thì Timer seõ baét ñaàu ñeám giaù trò khôûi gaùn vaø khi traøn töø FFH sang 00H trong TLx, côø TFx töï ñoäng ñöôïc set ñoàng thôøi giaù trò khôûi gaùn maø ta khôûi gaùn cho Thx ñöôïc naïp töï ñoäng vaøo TLx vaø Timer laïi ñöôïc ñeám töø giaù trò khôûi gaùn naøy leân. Noùi caùch khaùc, sau moãi traøn ta khoâng caàn khôûi gaùn laïi cho caùc thanh ghi Timer maø chuùng vaãn ñeám ñöôïc laïi töø giaù trò ban ñaàu.

8. Söï ñoïc thanh ghi timer treân tuyeán:

- Trong moät soá öùng duïng caàn thieát ñoïc giaù trò trong caùc thanh ghi Timer treân tuyeán, coù moät vaán ñeà tieàm naêng ñôn giaûn ñeå baûo veä laïi phaàn meàm. Bôûi vì 2 thanh ghi Timer phaûi ñöôïc ñoïc, neân “loãi giai ñoaïn” coù theå xuaát hieän neáu byte traøn vaø byte cao giöõa 2 hoaït ñoäng ñoïc. Moät giaûi phaùp ñeå khaéc phuïc laø ñoïc byte cao tröôùc, sau ñoù ñoïc byte thaáp, vaø ñoïc laïi byte cao: Neáu byte cao thay ñoåi thì laëp laïi caùc hoaït ñoäng ñoïc.

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 28

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Lyù Thuyeát Cô Sôû

Trang 29

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 28

PHAÀN III

THIEÁT KEÁ PHAÀN CÖÙNG

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 29

I.TOÅNG QUAÙT PHAÀN CÖÙNG CUÛA HEÄ THOÁNG : Phaàn cöùng cuûa heä thoáng ñöôïc xaây döïng vôùi yeâu caàu laø bieán ñoåi tín hieäu

töông töï thaønh soá ñeå nhaèm phuïc vuï toát cho maùy tính xöû lyù . Sô ñoà khoái cuûa phaàn cöùng nhö sau:

Tín hieäu Vaøo Tín hieäu ñieàu khieån vaø baét tay

Sô ñoà khoái phaàn cöùng cuûa heä thoáng

Thaønh phaàn chính cuûa phaàn cöùng laø boä bieán ñoåi A/D ,vôùi A/D coù toác ñoä bieán

ñoåi caøng nhanh caøng toát vì khi ñoù thì ta coù theå khaûo saùt tín hieäu ñöôïc beân ngoaøi vôùi taàn soá cao .

Khoái ñieàu chænh bieân ñoä taïo ra bieân ñoä thích hôïp ñeå ñöa vaøo maïch A/D vaø maïch ñeám taàn soá cuûa tín hieäu .

Phaàn cöùng ñöôïc giao tieáp vôùi maùy tính qua coång maùy in (LPT) ,vì coång LPT coù toác ñoä truyeàn tín hieäu cao vaø ñeå thöïc hieän laép gheùp vôùi thieát bò ngoaïi vi.

Data Bus

Ñieàu chænh bieân ñoä tín hieäu

Bieán ñoåi A/D

Giao tieáp vôùi maùy tính qua LPT

Ñeám taàn soá tín hieäu

Nguoàn cung caáp

Data Bus

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 30

II.BOÄ ÑIEÀU CHÆNH BIEÂN ÑOÄ TÍN HIEÄU :

II.1.Sô Ñoà Khoái: TÍN HIEÄU VAØO Tín Hieäu Ñieàu Khieån II.2.Nguyeân Taéc Hoaït Ñoäng:

Vì tín hieäu caàn khaûo saùt beân ngoaøi coù bieân ñoä baát kì ,tín hieäu coù bieân ñoä

quaù lôùn hay laø quaù nhoû ,neân ta caàn phaûi taïo ra bieân ñoä thích hôïp. Tín hieäu vaøo ñöôïc ñöa qua boä ñieàu chænh bieân ñoä ñeå khueách ñaïi hay haïn

bieân tín hieäu vaøo , coâng vieäc naøy ñöôïc thöïc hieän bôûi caùc tín hieäu ñieàu khieån , ngaõ ra ñöôïc ñöa vaøo boä bieán ñoåi A/D vaø boä ñeám taàn soá .

II.3.Sô Ñoà Nguyeân Lyù:

C2

R4 20k

C1

12input signal

R2 5k

R8 5M

R3 10k

- VCC

R1

100k

U6A

TL082

3

2

84

1+

-

V+V

-

OUT

R9 10M

C3

R5 100k

VCC

R6 1M

U5

14051

131415121524

6

11109

3 X0X1X2X3X4X5X6X7

INH

ABC

X

R7 3M

ÑIEÀU CHÆNH BIEÂN ÑOÄ

BIEÁN ÑOÅI A/D

ÑEÁM TAÀN SOÁ

S1

S2

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 31

II.3.1.Tính Chaát OPAM TL082:

Laø moät maïch khueách ñaïi thuaät toaùn keùp hai.Caùc vi maïch naøy toû ra ñaët bieät thích hôïp vôùi caùc boä phaän ñoái vôùi caùc boä tích phaân nhanh ,caùc boä khueách ñaïi ,caùc boä loïc tích cöïc vaø trong caùc maùy ño.

Caùc thoâng soá kyõ thuaät : + Daûi ñieän aùp nguoàn nuoâi: -/+ 3V…-/+18V + Ñieän aùp offset Vos (max) 15mV +Doøng tónh loái vaøo IB (max) 400pA +Ñoä roäng daûi khueách ñaïi GWB 3MHz +Toác ñoä taêng ñieän aùp 13V/μs +Ñieän trôû loái vaøo >1012ς +Doøng tieâu thuï (max) 5,6mA

II.3.2.Maïch Khueách Ñaïi Ñaûo: Do opamp lyù töôûng neân:

V1 = V2 = 0 I1 = -I2

Suy ra: Vi/R1= -V0/R2 Vaäy ñoä lôïi ñieän theá cuûa maïch laø : Av= V0/Vi = -R2/R1

Baèng caùch choïn caùc giaù trò R1 vaø R2 thích hôïp ta seõ coù ñöôïc ñoä khueách ñaïi cuûa maïch moät caùch phuø hôïp.

Ñeå coù theå choïn ñoä khueách ñaïi cuûa maïch baèng vieäc ñieàu khieån bôûi phaàn meàm , ta choïn IC 14051 (laø moät maïch ña hôïp /giaûi ña hôïp 8 keânh ) goàm 8 khoùa hai chieàu , moät phía ñöôïc noái vôùi ñaàu ra ñoäc laäp (X0 4 X7),phía coøn laïi ñöôïc noái vôùi ngoõ chung (X).Khoùa choïn caùc traïng thaùi bôûi caùc chaân töø A C khi chaân INH=L.

R1 I1

V 1

R2 I2

V2

U6A

TL082

3

2

84

1+

-

V+

V-

OUTV i Vo

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 32

Baûng toùm taét hoaït ñoäng choïn ñoä khueách ñaïi cho töøng keânh nhö sau:

INPUTS INH C B A

CHANNEL ON AV

L L L L X0 – X R2/R1=5/100=1/20 L L L H X1 - X R3/R1=10/100=1/10 L L H L X2 – X R4/R1=20/100=1/5 L L H H X3 – X R5/R1=100/100=1 L H L L X4 – X R6/R1=1M/100=10 L H L H X5 – X R7/R1=3M/100=30 L H H L X6 – X R8/R1=5M/100=50 L H H H X7 – X R9/R1=10M/100=100

III.BOÄ BIEÁN ÑOÅI A/D:

III.1.Sô Ñoà Khoái: Ngaõ ra boä khueách ñaïi

Tín hieäu ñieàu khieån vaø baét tay

III.2.Nguyeân Taéc Hoaït Ñoäng : Tín hieäu analog ôû beân ngoaøi ñöa vaøo seõ ñöôïc khueách ñaïi vaø naâng leân möùc

ñieän theá caàn thieát (ñaûm baûo tính hieäu vaøo khoâng aâm ) .Tín hieäu naøy seõ daãn ñeán ngoõ vaøo cuûa boä bieán ñoåi A/D cuøng vôùi caùc tín hieäu ñieàu khieån vaø baét tay töø LPT ,döõ lieäu digital ñöôïc ñöa leân Bus döõ lieäu vaø ñöôïc PC ñoïc vaøo.

III.3.Sô Ñoà Nguyeân Lyù:

Bieán ñoåiA/D

Giao tieáp qua LPT DATAS1

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 33

Sô ñoà nguyeân lyù cuûa boä bieán ñoåi A/D

III.3.1.Giôùi Thieäu Chung Veà Vi Maïch ADC0844:

- ADC 0844 ñöôïc cheá taïo baèng coâng ngheä CMOS, vôùi 4 ngoõ vaøo analog coù

theå caáu hình choïn ngoõ vaøo baèng phaàn meàm vaø hoaït ñoäng ôû 3 mode : single-ended, (vi sai) differential vaø mode giaû vi sai (pseudo differential).

- Choát ngoõ ra 3 traïng thaùi coù theå noái tröïc tieáp vôùi bus döõ lieäu cuûa heä vi xöû lyù.

- Ñoä phaân giaûi : 8bit. - Coâng suaát tieâu thuï 15mW. - Nguoàn nuoâi 5V cho daõi ñieâïn theá analog ngoõ vaøo töø 0 ñeán 5V. - Thôøi gian bieán ñoåi :40μs. - Boä taïo xung lock noäi. - Hieäu theá tham chieáu Uref thay ñoåi töø 0 ñeán 5V. • Sô ñoà chaân vi maïch ADC0844

S1

R1510k

R1450

D6TL431

23

1

AUTO FEED

STROBE

U6B

TL082

5

6

84

7+

-

V+V

-

OUT

C4

4.7uF

VCC

VCC

BUSY

D7

1N4148

R16

10k

13

2

D8

VCC

U9B

74LS14

34

INIT

DATA

R13

5.6k

R12

5.6k U1 ADC0844

2 119

3456

8

7

17161514131211

189

CS

RD

WR

CH1CH2CH3CH4

VREF

AGND

DB0/MA0DB1/MA1DB2/MA2DB3/MA3

DB4DB5DB6

INTRDB7

U1 ADC0844

2 119

3456

8

7

17161514131211

189

CS

RD

WR

CH1CH2CH3CH4

VREF

AGND

DB0/MA0DB1/MA1DB2/MA2DB3/MA3

DB4DB5DB6

INTRDB7

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 34

Sô ñoà chaân IC ADC0844. • Chöùc naêng caùc chaân

+ CH1 - CH2 : 4 ngoõ vaøo analog ñöôïc ña hôïp vaø ñöôïc choïn löïa baèng phaàn meàm. + DB0 - DB7 : ngoõ ra nhò phaân 3 traïng thaùi vaø ña hôïp vôùi caùc ñöôøng choïn ngoõ vaøo nhö laø input. + WR : duøng ñeå ghi töø ñieàu khieån choïn ngoõ vaøo vaø baét ñaàu söï chuyeån ñoåi. + CS : choïn vi maïch. + RD : cho pheùp döõ lieäu coù hieäu löïc ôû ngoõ ra 8 bit. + INT : ngoõ ra baùo keát thuùc söï chuyeån ñoåi. + refV : ñieän theá tham chieáu. Baûng caáu hình ña hôïp choïn ngoõ vaøo.

Channel

MA3 MA2 MA1 MA0 CS WR RD CH1 CH2 CH3 CH4 AGND

MUX mode

X L L L L H + -

X L L H L H - + + -

X L H L L H - +

X L H H L H Dife

rent

ial

L H L L L H + -

L H L H L H + -

L H H L L H + -

L H H H L H + -

Sing

le -

ende

d

H H L L L H + _ H H L H L H + _ H H H L L H + _ Ps

eudo

- di

fere

ntia

X X X X L L Previuos channel configation

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 35

• Giaûn ñoà thôøi gian hoaït ñoäng cuûa vi maïch.

- Caáu hình keânh môùi vaø baét ñaàu chuyeån ñoåi :

- Söû duïng keânh ñaõ ñöôïc choïn vaø baét ñaàu bieán ñoåi.

Caùc thoâng soá veà thôøi gian cuûa vi maïch.

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 36

Thoâng soá Ñieàu kieän Min Typ Max Ñôn vò tC , thôøi gian bieán ñoåi lôùnnhaát 30 40 60 μ s

)(WRwt , ñoä roäng xung WR Min 50 150 Ns

ACCt , thôøi gian truy xuaát Max CL=10pF 145 225 Ns

HH tt 01 , , ñieàu kieän Tri_State CL=10pF RL=10K

125 200 Ns

11 , RW tt , khoaûng thôøi gian treã max töø caïnh xuoáng cuûa WR hay RD ñeán caïnh leân INT

200 400 Ns

DSt thôøi gian taïo data lôùn nhaát

50 100 Ns

DHt thôøi gian taïo data lôùn nhaát

0 50 Ns

INC , ñieän dung ngoõ vaøo 5 PF

OUTC , ñieän dung ngoõ ra 5 pF *Caùc thoâng soá veà ñieän.

Thoâng soá Ñieàu kieän Min Typ Max Ñôn vò )1(INV ,ñieän theá logic möùc 1

(min)

VVCC 25.5= 2 2 V

)0(INV ,ñieän theá logic möùc 0(max)

VVCC 75.4= 0.8 0.8 V

)1(INI , doøng vaøo möùc 1 (min) VVIN 5= 0.005 1 μ A

)0(INI , doøng vaøo möùc 1 (max)

VVIN 0= -0.005 -1 μ A

)1(OUTV ,ñieän theá ra möùc 1 (min)

VVCC 75.4= AIOUT μ360−=

AIOUT μ10−=

2.8 4.6

2.4 2.5

V V

)0(OUTV , ñieän theá ra möùc 0

VVCC 75.4= mAIOUT 6.1=

0.34

0.4

V

)0(OUTI , doøng ra 3 traïng thaùi VVOUT 0= VVOUT 5=

-0.01 0.01

-0.3 0.3

-3 3

Aμ Aμ

SOURCEI , doøng dieän nguoàn (min)

VVOUT 0= -14 -7.5 -6.5 mA

SINKI , doøng taûi ra (min) CCOUT VV = 16 9 8 mA

CCI , doøng nguoàn caáp (Max) 1=CS refV môû

1 2.3 2.5 mA

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 37

III.3.2.Maïch Ñeäm Ngaõ Vaøo:

Maïch Ñeäm Vôùi nguoàn nuoâi 5V cho daõi ñieän theá analog ngoõ vaøo töø 0 ñeán 5V, vì ngaõ

ra boä khueách ñaïi coù theå thay ñoåi ñöôïc ñoä khueách ñaïi neân ta ñieàu chænh bieân ñoä tín hieäu ra (khi laø tín hieäu xoay chieàu ) khoaûng –2.5V ñeán +2.5V.Do ñoù ta naâng ñieän theá leân döông +2.5V baèng moät caàu phaân theá vôùi hai ñieän trôû R12 vaø R13 .

Hai diode D7 vaø D8 coù taùc duïng baûo veä khi ñieän aùp vaøo quaù aâm hay quaù döông.

III.3.3.Maïch Taïo Ñieän Theá Tham Chieáu:

Hình : Maïch taïo ñieän theá tham chieáu

Maïch naøy hoaït ñoäng chuû yeáu döïa treân linh kieän oån aùp TL431, ñaây laø moät vi maïch oån aùp coù tính naêng toát. Ñieän theá oån aùp ZV =2.5V vaø coù theå tinh chænh ñieän theá ngoõ ra baèng caùch thay ñoåi trò cuûa bieán trôû 2R . Vôùi maïch ñöôïc thieát keá nhö treân, trò cuûa refV coù theå ñöôïc tính baèng coâng thöùc:

03

21 VRRVref ⎟⎟

⎞⎜⎜⎝

⎛+=

VCC

D7

1N4148

OUTD8

VCC

U6B

TL082

5

6

84

7+

-

V+V

-

OUTR13

5.6k

C44.7uF

R12

5.6k

S1

VCC

Dz

TL431

48

5

R11

R

Rref1

5012

Rref

POT

13

2

Vref

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 38

Trong maïch naøy ta choïn VV 50 += , Ω= 501refR , Ω= KR 1011 , Ω= KRref 10 Ta coù khoaûng thay ñoåi ñieän theá refV : 2.5 ÷ 5V

III.3.4.Nguyeân taéc hoaït ñoäng. Ñeå thöïc hieän vieäc bieán ñoåi A/D, ta söû duïng vi maïch ADC0844 vaø moät soá linh

kieän phuï trôï khaùc : Vi maïch ADC0844 coù 4 ngoõ vaøo analog vaø caùc ñöôøng ñieàu khieån choïn ngoõ

vaøo ñöôïc ña hôïp vôùi bus döõ lieäu. Neân vieäc choïn ngoõ vaøo ñöôïc thöïc hieän baèng phaàn meàm, töùc laø tröôùc khi thöïc hieän vieäc bieán ñoåi ta phaûi xuaát töø ñieàu khieån choïn ngoõ vaøo leân bus döõ lieäu ngoõ vaøo cuûa ADC0844.

Moät chu kyø bieán ñoåi A/D ñöôïc thöïc hieän nhö sau: - Ñaàu tieân, maùy tính seõ xuaát ra moät töø ñieàu khieån ñeå khôûi ñoäng quaù trình

bieán ñoåi goàm : moät tín hieäu möùc thaáp ôû chaân CS (INIT) ñeå choïn vi maïch ADC0844, moät tín hieäu möùc thaáp ôû chaân WR (AUTOFEED) vaø moät töø ñieàu khieån treân bus döõ lieäu ñeå choïn ngoõ vaøo. Thaät vaäy, caïnh xuoáng cuûa tín hieäu WR duøng ñeå ghi töø ñieàu khieån vaøo ADC0844 vaø ñoàng thôøi tín hieäu chaân RD ôû möùc cao, caïnh leân WR seõ baét ñaàu quaù trình bieán ñoåi. Sau thôøi gian bieán ñoåi khoaûng 40 sμ . ADC0844 seõ cho chaân INT (BUSY) xuoáng thaáp baùo hieäu ñaõ bieán ñoåi xong vaø döõ lieäu ñaõ saün.

- Khi nhaän ñöôïc tín hieäu baùo ñaõ bieán ñoåi xong, maùy tính baét ñaàu vieäc laáy döõ lieäu baèng caùch ñöa tín hieäu RD (STROBE) xuoáng thaáp. Moät chu kyø ñoïc dieãn ra, döõ lieäu ñöôïc maùy tính ñoïc vaøo .

IV.BOÄ ÑEÁM TAÀN SOÁ: IV.1.Sô Ñoà Khoái :

Sô ñoà khoái boä ñeám taàn soá

IV.2.Nguyeân Taéc Hoaït Ñoäng:

Ñeám taàn soá

Giao tieáp qua LPT DATA

Tín hieäu ñöôïc trigger

Tín hieäu baét tay

Ñeäm

S2

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 39

Tín hieäu töø ngaõ ra cuûa boä ñeäm ñöôïc trigger taïo thaønh xung vuoâng ñeå ñöa

vaøo boä ñeám taàn soá .Sau moät giaây tín , hieäu ñeám ñöôïc seõ ñöôïc xuaát ra Bus döõ lieäu ñöôïc ñieàu khieån bôûi tín hieäu baét tay töø coång maùy in.

IV.3.Sô Ñoà Nguyeân Lyù:

Sô ñoà boä ñeám taàn soá IV.4.Löu Ñoà Boä Ñeám Taàn Soá :

VCC

R108.2k

U11A

TL082

3

2

84

1+

-

V+

V-

OUT

U4

AT89C51

9

18

192930

31

2122232425262728

1011

1213

14

151617

12345678

3938373635343332

RST

XTAL2

XTAL1PSENALE/PROG

EA/

VPP

P2.0/A8P2.1/A9P2.2/A10P2.3/A11P2.4/A12P2.5/A13P2.6/A14P2.7/A15

P3.0/RXDP3.1/TXD

P3.2

/IN

TOP3.3/INT1

P3.4/TO

P3.5/T1P3.6/WRP3.7/RD

P1.0P1.1P1.2P1.3P1.4P1.5P1.6P1.7

P0.0/AD0P0.1/AD1P0.2/AD2P0.3/AD3P0.4/AD4P0.5/AD5P0.6/AD6P0.7/AD7

DATA

C3 33p

SLCTIN

C1

10uF

C2 33p

X112MHz

ACK

C1

D5

C11

C3

R11

330

IN

U9C

74LS14

5 6

C2

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 40

Chöông trình MAIN:

Baét Ñaàu

Khôûi Ñoäng

P3.0=0 OUT_PORT

Ñoïc döõ lieäu

=ACK

P3.0=1

Traû lôøi

DELAY

=”T”

P3.2=1 DELAY

Nhaän Byte ñieàu khieån bieân ñ ä

P3.2=0

Ñieàu khieån bieân ñoä

N

Y

N

Y

N

Y

Y

N

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 41

Chöông trình OUT_PORT:

Baét Ñaàu

Xuaát Byte 1

P3.2 = 1

DELAY

P3.2 = 0

DELAY

Xuaát Byte 2

P3.2=1

DELAY

P3.2 = 0

DELAY

Xuaát Byte 3

P3.2 = 1

DELAY

P3.2 =0

Xuaát Byte 4

P3.2 =1

DELAY

P3.2=0

DELAY

Thoaùt

DELAY

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 42

Chöông trình PULSE_1HZ:

Baét Ñaàu

Khôûi ñoäng laïi Timer 1

Giaûm R4

R4=0

R4=20

Ñaûo led

Döøng Counter

Ñoïc giaù trò

Cho Counter chaïy

Thoaùt

Y

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 43

IV.5.Ñoaïn Chöông Trình Ñeám Taàn Soá: $TITLE (OSCILLOS COPE PC) $MOD51 ENQ EQU 05H ; ky tu bat tay tu PC ACK EQU 06H ; ky tu tra loi Control DATA 08H ; byte dieu khien giai do Counter1 DATA 09H ; Counter2 DATA 0AH ; cac byte dem tan so Counter3 DATA 0BH ; Cao DATA 0CH ORG 0000H ; dia chi bat dau chuong trinh JMP MAIN ORG 000BH ; dia chi vecter ngat cua counter 0 JMP COUNTER ORG 001BH ; dia chi vecter ngat cua timer 1 JMP PULSE_1HZ ************************************************** * Mot qui uoc khi viet chuong trinh * * P3.0=0 thi PC ghi du lieu xuong 8951 * * P3.0=1 thi PC doc du lieu tu 8951 * * * * P3.2=1 8951 bao cho PC bat dau thao tac * * P3.2=0 8951 bao cho PC ket thuc thao tac * ************************************************** ****************************************************************** * Chuong trinh chinh MAIN * * Nhiem vu: tham do P1 va P3.0 * * - Khi gia tri o P1=ENQ thi goi ACK ra P1 * * - Khi gia tri o P1='T' thi nhan byte dieu khien dien the * * - Khi P3.0=1 thi xuat 4 byte du lieu ve may tinh *

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 44

****************************************************************** MAIN: CLR P3.2 ; tin hieu thoa thuan voi may tinh MOV P2,#3 MOV TMOD,#00010101B ; timer 1 mode 1, counter 0 mode 1 MOV TL0,#0 MOV TH0,#0 SETB TR0 ; bat dau dem so xung o ngo vao T0 MOV TL1,#LOW (15541) ; 65536-(50000-5) MOV TH1,#HIGH(15541) ; timer 1 goi ngat sau 50000 us tuc 50 ms MOV R4,#20 ; 50ms*20=1000s=1s SETB TR1 SETB ET0 ; cho phep counter 0 goi ngat SETB ET1 ; cho phep timer 1 goi ngat SETB PT1 ; uu tien ngat timer 1 SETB EA ; cho phep ngat ********** Vong lap chinh cua chuong trinh ********** LOOP: ; vong lap tham do JNB P3.0,INPORT ; nhay neu P3.0=0 CALL OUTPORT ; neu P3.0=1 thi goi chuong trinh xuat 4 byte du lieu ve

PC JMP LOOP INPORT: MOV A,P1 ; doc Port1 CJNE A,#ENQ,NEXT ; nhay neu khong phai ky tu bat tay JNB P3.1,$ ; doi PC doc ky tu tra loi MOV P1,#ACK ; goi ky tu tra loi ve PC MOV R6,#255 DL: CALL DELAY DJNZ R6,DL JMP LOOP

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 45

NEXT: CJNE A,#'T',LOOP ; nhay neu khong phai ky tu bao truyen 'T' SETB P3.2 ; bao san sang nhan CALL DELAY MOV Control,P1 ; nhan byte dieu khien bien do CLR P3.2 ; bao ket thuc thao tac MOV P2,Control ; dieu khien bien do JMP LOOP *************************************************************** * Chuong trinh OUTPORT * * Nhiem vu: xuat 4 byte du lieu ve may tinh * * Toc do truyen do 8951 qui dinh * * May tinh tham do tinh hieu ACK o thanh ghi trang thai * * de nhan du lieu * *************************************************************** OUTPORT: MOV P1,Control ; xuat byte thu nhat SETB P3.2 ; bao du lieu san sang CALL DELAY CLR P3.2 CALL DELAY MOV P1,Counter1 ; xuat byte thu 2 SETB P3.2 ; bao du lieu san sang CALL DELAY CLR P3.2 CALL DELAY MOV P1,Counter2 ; xuat byte thu 3 SETB P3.2 ; bao du lieu san sang CALL DELAY CLR P3.2 CALL DELAY MOV P1,Counter3 ; Counter3 xuat byte thu 4 SETB P3.2 ; bao du lieu san sang CALL DELAY CLR P3.2 CALL DELAY RET ******************************************************************* * Chuong trinh COUNTER *

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 46

* Muc dinh: dem so xung tu ngo vao T0, khi tan cua tin hieu vuot * * qua so 16 bit cua Counter thi byte cao se duoc cap nhat * ******************************************************************* COUNTER: CLR TF0 ; xoa co tran INC Cao ; tang byte cao RETI ******************************************************************* * Chuong trinh PULSE_1HZ * * Muc dich: tao xung 1Hz de dieu khien viec dem so xung xac dinh tan so * ******************************************************************* PULSE_1HZ: CLR TR1 MOV TL1,#LOW (15541) MOV TH1,#HIGH(15541) ; khoi dong lai timer 1 SETB TR1 CPL P3.1 ; dao trang thai led DJNZ R4,EXIT ; nhay neu chua du 1s MOV R4,#20 CLR TR0 ; dung counter 0

MOV Counter1,TL0 ; MOV Counter2,TH0 ; doc so xung MOV Counter3,Cao MOV TL0,#0 ; MOV TH0,#0 ; MOV Cao,#0 ; SETB TR0 ; reset counter 0 EXIT: RETI ;************************************************ ;* Chuong trinh DELAY * ;* Muc dich: tri hoan chuong trinh trong 1ms * ;************************************************

DELAY:

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 47

DJNZ B,$ ; 256 * 2 = 512 us MOV B,#244 ; 244 * 2 = 488 us DJNZ B,$ ; 488 + 512 = 1000 us = 1 ms RET

END V.Maïch Ñeäm Vaøo Coång Maùy Tính:

Ñeå traùnh xung ñoät trong quaù trình ñoïc vaø ghi döõ lieäu töø coång maùy tính cho ADC0844 vaø 89C51 ,ta duøng hai IC ñeäm 74lLS245 ñöôïc ñieàu khieån choïn bôûi tính hieäu chaân 16(INIT).

VI.Maïch Nguoàn Cung Caáp Ñieän: Vì trong maïch coù söû duïng opamp TL082 neân caàn phaûi söû duïng nguoàn

ñoâi.ÔÛ ñaây choïn nguoàn ñoâi 5V cho töông thích vôùi coång maùy in cuûa PC

DATA

BUSY

U3

74LS245

19

1

23456789

1817161514131211

G

DIR

A1A2A3A4A5A6A7A8

B1B2B3B4B5B6B7B8

INIT

SLCINT

U9E74LS14

11 10

VB1

SUB-D 25

25

24

23

22

21

207

196

185

174

163

152

141

13

12

11

10

9

8

DATA

U9A 74LS14

12

U9D74LS14

98

StrobeU2 74LS245

19

1

23456789

1817161514131211

G

DIR

A1A2A3A4A5A6A7A8

B1B2B3B4B5B6B7B8

ACK

AF

C11

104

-VCC

R17

1k

C10104

U13 7905

1

32 GN

D

VOUTVIN

-+

D5

4007

1

2

3

4

D6

LED

U127805

1 3

2

VIN VOUT

GN

D

C19

VCC

C9

104

C6

1000uF

JR1 12V AC

123

123

C8

104

C51000uF

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Thieát Keá Phaàn Cöùng

Trang 48

VII.Sô Ñoà Maïch Chi Tieát:

C11

104

VCC

R11

330

C19

U5

14051

131415121524

6

11109

3X0X1X2X3X4X5X6X7

INH

ABC

X

C17

C2 33p

-VCC

R3 10k

R17

1k

C10104

U2 74LS245

19

1

23456789

1817161514131211

G

DIR

A1A2A3A4A5A6A7A8

B1B2B3B4B5B6B7B8

U6B

TL082

5

68

47

+

-V+

V-

OUT

R16

10k

13

2

SLCTLIN

VCC

C15

R8 5M

C16

U13 7905

1

32 GN

D

VOUTVIN

VCC

-+

D5

4007

1

2

3

4

D6

LED

VB1 SUB-D 25

25

24

23

22

21

207

196

185

174

163

152

141

13

12

11

10

9

8

R1100k

C12

U127805

1 3

2

VIN VOUT

GN

D

C19

U1 ADC0844

2 119

3456

8

7

17161514131211

189

CS

RD

WR

CH1CH2CH3CH4

VREF

AGND

DB0/MA0DB1/MA1DB2/MA2DB3/MA3

DB4DB5DB6

INTRDB7

U3

74LS245

19

1

23456789

1817161514131211

G

DIR

A1A2A3A4A5A6A7A8

B1B2B3B4B5B6B7B8

U9B

74LS14

34

R2 5k

C18

U4

AT89C51

9

18

192930

31

2122232425262728

1011

12

13

14

151617

12345678

3938373635343332

RST

XTAL2

XTAL1PSENALE/PROG

EA/V

PP

P2.0/A8P2.1/A9P2.2/A10P2.3/A11P2.4/A12P2.5/A13P2.6/A14P2.7/A15

P3.0/RXDP3.1/TXD

P3.2

/IN

TO

P3.3/INT1

P3.4/TO

P3.5/T1P3.6/WRP3.7/RD

P1.0P1.1P1.2P1.3P1.4P1.5P1.6P1.7

P0.0/AD0P0.1/AD1P0.2/AD2P0.3/AD3P0.4/AD4P0.5/AD5P0.6/AD6P0.7/AD7

1 2

BR1 input

VCC

R1510k

VCC

D8 INIT

C20

D5

U9C

74LS14

5 6

ACK

C1

10uF

C9

104

R7 3M D6TL431

23

1R9 10M

C6

1000uF

-VCC

D7

1N4148

JR1 12V AC

123

123

AF

C8

104

R5 100k

U9A 74LS14

12

VCC C44.7uF

X112MHz

C14

R13

5.6k

R1450

R4 20k

C3 33p

R10

8.2k

Strobe

VCC

R12

5.6k

C51000uF

U6A

TL082

3

2

84

1+

-

V+

V-

OUT

R6 1M

Busy

U12ATL082

32

84

1

+-

V+V-

OU

T

C11

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Keát Luaän vaø Höôùng Phaùt Trieån

Trang 49

PHAÀN IV

KEÁT LUAÄN VAØ HÖÔÙNG PHAÙT TRIEÅN

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Keát Luaän vaø Höôùng Phaùt Trieån

Trang 50

I. Keát quaû ñaït ñöôïc:

Sau khi thieát keá xong phaàn cöùng vaø phaàn meàm, chuùng em tieán haønh thöïc nghieäm. Qua thöïc nghieäm, ñaõ thu ñöôïc moät soá keát quaû nhö sau:

- Taïo ñöôïc phaàn cöùng goïn nheï coù khaû naêng giao tieáp vôùi maùy tính ñeå thöïc hieän vieäc laáy maãu tín hieäu vaø veõ laïi tín hieäu treân maøn hình.

- Taïo ñöôïc giao dieän deã söû duïng, ngöôøi duøng coù theå ñieàu khieån heä thoáng gioáng nhö ñieàu khieån moät Oscilloscope thaät.

- Ño ñöôïc taàn soá cuûa tín hieäu töông ñoái chính xaùc.

II. Haïn cheá:

- Chæ xem ñöôïc daïng soùng cuûa tín hieäu toái ña laø 9KHz. - Chöông trình chæ ñieàu khieån ñöôïc baèng chuoät. - Do thieát bò naïp chöông trình cho 89C2051 khoâng oån ñònh neân ta söû duïng

89C51 (chæ söû duïng moät soá chaân). - Vieäc chænh bieân ñoä hieån thò khoâng ñöôïc tinh nhö Oscilloscope thaät.

III. Höôùng daãn söû duïng:

- Böôùc 1: noái phaàn cöùng vôùi coång song song cuûa maùy tính. - Böôùc 2: khôûi ñoäng maùy tính. - Böôùc 3: caáp nguoàn cho phaàn cöùng. - Böôùc 4: tìm vaø goïi chöông trình OSC_COPE.EXE - Böôùc 5: sau khi chaïy chöông trình ta coù theå click vaøo nuùt Tro Giùup ñeå xem

nhöõng thoâng tin höôùng daãn söû duïng chi tieát.

IV. Höôùng phaùt trieån cuûa ñeà taøi:

- Ñeå coù theå xem ñöôïc daïng soùng cuûa tín hieäu coù taàn soá cao hôn, ta coù theå duøng kyõ thuaät ñoåi taàn ñeå dôøi taàn tín hieäu tröôùc khi ñöa vaøo ngoû vaøo linh kieän bieán ñoåi A/D.

- Coù theå môû roäng vôùi nhieàu keânh ngoû vaøo ñeå quan saùt vaø so saùnh cuøng luùc nhieàu tín hieäu treân maøn hình hieån thò.

http://www.ebook.edu.vn

Luaän Vaên Toát Nghieäp Taøi Lieäu Tham Khaûo

Trang 51

TAØI LIEÄU THAM KHAÛO

1. [TAÄP] - NGOÂ DIEÂN TAÄP - Ño löôøng vaø ñieàu khieån baèng maùy tính –NXB khoa hoïc vaø kyõ thuaät -1999 2[GIANG] - NGUYEÃN MAÏNH GIANG - Kyõ thuaät gheùp noái maùy tính (t1) - NXB GIAÙO DUÏC. 3. PHAÏM THÖÔÏNG HAØN –NGUYEÃN TROÏNG QUEÁ –NGUYEÃN VAÊN HOØA –

NGUYEÃN THÒ VAÁN Kyõ thuaät ño löôøng caùc ñaïi löôïng vaät lyù -NXB GIAÙO DUÏC - 1996. 4. [TRI.97] - DÖÔNG MINH TRÍ - Sô ñoà chaân linh kieän baùn daãn – NXB khoa hoïc vaø kyõ thuaät – 1997. 5.[BAÛO]-NGUYEÃN ÑÌNH BAÛO Soå tay tra cöùu IC soá IC CMOS- NXB Thoáng keâ