Pengubah BCD ke 7 segmen

26
LAPORAN PRAKTIKUM SISTEM DIGITAL RANGKAIAN PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN Oleh : Nama : Ayu Purwati NIM : 14302241028 Kelas : Pendidikan Fisika I LABORATORIUM ELEKTRONIKA DAN INSTRUMENTASI JURUSAN PENDIDIKAN FISIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM 2016

Transcript of Pengubah BCD ke 7 segmen

Page 1: Pengubah BCD ke 7 segmen

LAPORAN PRAKTIKUM

SISTEM DIGITAL

RANGKAIAN PENGUBAH SANDI BCD KE PERAGA

7-SEGMEN

Oleh :

Nama : Ayu Purwati

NIM : 14302241028

Kelas : Pendidikan Fisika I

LABORATORIUM ELEKTRONIKA DAN INSTRUMENTASI

JURUSAN PENDIDIKAN FISIKA

FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM

2016

Page 2: Pengubah BCD ke 7 segmen

Percobaan 5

RANGKAIAN PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN

A. Tujuan Praktikum

1. Membandingkan hasil praktikum dengan teori

2. Mengetahui cara kerja rangkaian pengubah sandi BCD ke peraga 7-segmen

B. Alat – alat

1. Catu daya (5V)

2. IC dengan seri 7447 dan 7448

3. Peraga 7 segmen (Anoda bersama dan Katoda bersama)

4. Kabel penghubung

5. Resistor

6. Multimeter Analog

C. Langkah Percobaan

1. Mengecek jenis peraga 7-segmen apakah anoda bersama atau katoda bersama

dengan menggunakan multimeter

2. Merangkai rangkaian untuk masing –masing fungsi IC seperti gambar berikut :

Keterangan :

Input A : Kabel Hitam

Peraga 7-segmen

Resistor 270Ω

Page 3: Pengubah BCD ke 7 segmen

Input B : Kabel Biru

Input C : Kabel Putih

Input D : Kabel Abu-abu

Dengan catatan :

IC 7447 dihubungkan dengan peraga 7-segmen anoda bersama dan resistor

dihubungkan pada kaki tengah bagian atas peraga 7-segmen dan Vcc

IC 7448 dihubungkan dengan peraga 7-segmen katoda bersama dan resistor

dihubungkan pada kaki tengah bagian bawah peraga 7-segmen dan ground.

3. Mengatur Vcc sebesar 5 volt sebelum masuk pada rangkaian,

4. Memberi nilai pada input masing – masing untuk biner 9 nilai angka desimal

5. Mengamati hasil keluaran dengan memperhatikan peraga 7-segmen yang

menyala,

6. Mencatat hasil yang diperoleh.

D. Landasan Teori

Dalam suatu mesin digital, instruksi dan informasi (data) disajikan dalam

bentuk biner, karena mesin digital hanya dapat menanggapi dan mengolah data dalam

bentuk biner. Kita sering melihat atau bahkan menggunakan mesin-mesin digital

seperti multimeter digital, termometer digital, jam digital, komputer, kalkulator, dan

lain-lain. Tampilan yang langsung dapat kita lihat dari alat tersebut berupa angka

desimal, padahal proses yang terjadi di dalamnya berbentuk biner. Instruksi ataupun

informasi dalam bentuk biner tidak kita sukai, selain karena lebih rumit juga kurang

praktis dan di luar kebiasaan. Kita telah terbiasa dengan huruf dari A sampai Z

maupun angka-angka 0, 1, 2, ... 9. Sehingga apabila disajikan angka atau kata dalam

bentuk biner pada umumnya tidak segera diketahui maknanya . Misalkan disajikan

sederet bit 0001011, kita tidak segera tahu deretan bit itu menyatakan angka atau

huruf. Jika angka, sederet bit tersebut dapat menunjukkan angka 17 atau bahkan

angka 23 sebagaimana biasa kita kenal. Agar 00010111 dapat tampil sebagai 17 atau

23 diperlukan teknik maupun rangkaian tertentu. Hal ini juga berlaku untuk langkah

sebaliknya, agar angka 17 atau 23 dapat dikenali oleh suatu mesin digital sebagai

00010111 diperlukan teknik dan rangkaian tertentu pula. (Sumarna, 2015)

Display 7 segment merupakan komponen yang berfungsi sebagai penampil

karakter angka dan karakter huruf. Display 7 segment sering juga disebut sebgai

penampil 7 ruas. Pada display 7 segment juga dilengkapi karakter titik (dot) yang

Page 4: Pengubah BCD ke 7 segmen

sering dibutuhkan untuk karakter koma atau titik pada saat menampilkan suatu

bilangan. Display 7 segment terdiri dari 7 penampil karakter yang disusun dalam

sebuah kemasan sehingga dapat menampilkan karakter angka dan karakter huruf.

Terdapat 7 buah penampil dasar dari LED (Light Emiting Diode) yang dinamakan

karakter A-F dan karakter dot. Bentuk susunan karakter penampil karakter A-F pada

display 7 segmen dapat dilihat pada gambar berikut. (http://elektronika-

dasar.web.id/display-7-segment/)

Pada dasarnya penampil 7 segment merupakan rangkaian 7 buah dioda LED

(Light Emiting Diode). Terdapat 2 (dua) jenis rangkaian dasar dari display 7 segment

yang dikenal sebagai display 7 segment common anoda (CA) dan common cathoda

(CC). Pada display common anoda untuk mengaktifkan karakter display 7 segment

diperlukan logika low (0) pada jalur A-F dan DP dan sebaliknya untuk display 7

segment common cathoda (CA). (http://elektronika-dasar.web.id/display-7-segment/)

Dekoder BCD ke 7 segment jenis TTL adalah rangkaian yang berfungsi untuk

mengubah kode bilangan biner BCD (Binary Coded Decimal) menjadi data tampilan

untuk penampil/display 7 segment yang bekerja pada tegangan TTL (+5 volt DC).

Dekoder BCD ke 7 segmen yang digunakan adalah jenis TTL. Dekoder BCD ke 7

segmen jenis TTL ada beberapa macam diantaranya keluarga IC TTL 7447 dan

keluarga IC TTL 7448. Kedua IC TTL tersebut memiliki fungsi yang sama namun

peruntukannya berbeda, IC 7447 digunakan untuk driver 7 segment common anoda

sedangkan IC 7448 digunakan untuk driver dispaly 7 segment common cathode. IC

dekoder BCD ke 7 segment sering juga dikenal sebagai driver display 7 segment

karena selalu digunakan untuk memberikan driver sumber tegangan ke penampil 7

segment. Konfigurasi Pin IC Dekoder BCD Ke 7 Segmen 7447 Dan 7448 Jalur input

data BCD, pin input ini terdiri dari 4 line input yang mewakili 4 bit data BCD dengan

sebutan jalur input A, B, C dan D. Jalur ouput 7 segmen, pin output ini berfungsi

Page 5: Pengubah BCD ke 7 segmen

untuk mendistribusikan data pengkodean ke penampil 7 segmen. Pin output dekoder

BCD ke 7 segmen ini ada 7 pin yang masing-masing diberi nama a, b, c, d, e, f dan g.

Jalur LT (Lamp Test) yang berfunsi untuk menyalakan semua led pada penampil 7

segmen, jalur LT akan aktif pad saat diberikan logika LOW pad jalut LT tersebut.

Jalur RBI (Riple Blanking Input) yang berfungsi untuk menahan sinyal input (disable

input), jalur RBI akan aktif bila diberikan logika LOW. Jalur RBO (Riple blanking

Output) yang berfungsi untuk menahan data output ke penampil 7 segmen (disable

output), jalur RBO ini akan aktif pada saat diberikan logika LOW. Dalam aplikasi

decoder, ketiga jalur kontorl (LT, RBI dan RBO) harus diberikan logika HIGH

dengan tujuan data input BCD dapat masuk dan penampil 7 segmen dapat menerima

data tampilan sesuai data BCD yang diberikan pada jalur input.

Fungsi resistor pada setiap jalur output dekoder BCD ke 7 segmen tersebut

adalah sebagai pembatas arus maksimum yang mengalir pada LED penampil 7

segmen dan arus yang mengalir pada IC dekoder BCD ke 7 segmen yang digunakan

dimana arus maksimum yang diperbolehkan maksimum 20 mA. (Triadi dan Nasution,

2013)

Page 6: Pengubah BCD ke 7 segmen

E. Data Hasil Praktikum

a. Anoda (IC 7447)

Angka

Desimal

Masukan Keluaran

D C B A a b c d e f g

0 0 0 0 0 0 0 0 0 0 0 1

1 0 0 0 1 1 0 0 1 1 1 1

2 0 0 1 0 0 0 1 0 0 1 0

3 0 0 1 1 0 0 0 0 1 1 0

4 0 1 0 0 1 0 0 1 1 0 0

5 0 1 0 1 0 1 0 0 1 0 0

6 0 1 1 0 1 1 0 0 0 0 0

7 0 1 1 1 0 0 0 1 1 1 1

8 1 0 0 0 0 0 0 0 0 0 0

9 1 0 0 1 0 0 0 1 1 0 0

LT BI RBI Keluaran

Gambar A b c d e f g

1 0 1 1 1 1 1 1 1 1

1 1 0 0 0 0 0 0 0 0

Page 7: Pengubah BCD ke 7 segmen

1 0 0 1 1 1 1 1 1 1

0 1 1 0 0 0 0 0 0 0

Gambar Hasil Praktikum:

Page 8: Pengubah BCD ke 7 segmen

b. Katoda (IC 7448)

Angka

Desimal

Masukan Keluaran

D C B A a b c d e f g

0 0 0 0 0 1 1 1 1 1 1 0

1 0 0 0 1 0 1 1 0 0 0 0

2 0 0 1 0 1 1 0 1 1 0 1

3 0 0 1 1 1 1 1 1 0 0 1

4 0 1 0 0 0 1 1 0 0 1 1

5 0 1 0 1 1 0 1 1 0 1 1

6 0 1 1 0 0 0 1 1 1 1 1

7 0 1 1 1 1 1 1 0 0 0 0

8 1 0 0 0 1 1 1 1 1 1 1

9 1 0 0 1 1 1 1 0 0 1 1

Page 9: Pengubah BCD ke 7 segmen

LT BI RBI Keluaran

Gambar a b c d e f g

1 0 1 0 0 0 0 0 0 0

1 1 0 1 1 1 1 1 1 1

1 0 0 0 0 0 0 0 0 0

0 1 1 1 1 1 1 1 1 1

Page 10: Pengubah BCD ke 7 segmen

Gambar Hasil Praktikum:

Page 11: Pengubah BCD ke 7 segmen

F. Analisa Data

a. Anoda

Angka

Desimal

Masukan Keluaran

D C B A a b c d e f g

0 0 0 0 0 0 0 0 0 0 0 1

1 0 0 0 1 1 0 0 1 1 1 1

2 0 0 1 0 0 0 1 0 0 1 0

3 0 0 1 1 0 0 0 0 1 1 0

4 0 1 0 0 1 0 0 1 1 0 0

5 0 1 0 1 0 1 0 0 1 0 0

6 0 1 1 0 1 1 0 0 0 0 0

7 0 1 1 1 0 0 0 1 1 1 1

8 1 0 0 0 0 0 0 0 0 0 0

9 1 0 0 1 0 0 0 1 1 0 0

10 1 0 1 0 X X X X X X X

11 1 0 1 1 X X X X X X X

12 1 1 0 0 X X X X X X X

13 1 1 0 1 X X X X X X X

14 1 1 1 0 X X X X X X X

15 1 1 1 1 X X X X X X X

0 = nyala

1 = padam

X = don‟t care

Segmen a

f(A,B,C,D) = ∑m(0,2,3,5,6,7,8,9)

0 1 X 0

1 0 X 0

0 0 X X

0 0 X X

Segmen b

f(A, B, C, D) = ∑m(0,1,2,3,4,7,8,9)

0 0 X 0

0 1 X 0

0 0 X X

0 1 X X

𝑌 = 𝐷. + 𝐶.𝐴 + .𝐵 + 𝐶 .

= .𝐵 + 𝐷. + 𝐶.𝐴 + 𝐶 .

𝑌 = 𝐶 + 𝐵𝐴 + .

Page 12: Pengubah BCD ke 7 segmen

Segmen c

f(A,B,C,D) = ∑m(0,1,3,4,5,6,7,8,9)

0 0 X 0

0 0 X 0

0 0 X X

1 0 X X

Segmen d

f(A,B,C,D) = ∑m(0,2,3,5,6,8,9)

0 1 X 0

1 0 X 0

0 1 X X

0 0 X X

Segmen e

f(A,B,C,D) = ∑m(0,2,4,8)

0 0 X 0

1 1 X 1

1 1 X X

0 1 X X

Segmen f

f(A,B,C,D) = ∑m(0,4,5,6,8,9)

0 0 X 0

1 0 X 0

1 1 X X

1 0 X X

𝑌 = + 𝐶 + 𝐴

𝑌 = 𝐷. + 𝐷 + 𝐶. .𝐴 + .𝐶 .𝐵 + 𝐵.

𝑌 = . + 𝐶 .

𝑌 = . + 𝐷 + 𝐶. + 𝐶.

Page 13: Pengubah BCD ke 7 segmen

Segmen g

f(A,B,C,D) = ∑m(2,3,4,5,6,8,9)

0 0 X 0

1 0 X 0

0 1 X X

0 0 X X

b. Katoda

Angka

Desimal

Masukan Keluaran

D C B A a b c d e f g

0 0 0 0 0 1 1 1 1 1 1 0

1 0 0 0 1 0 1 1 0 0 0 0

2 0 0 1 0 1 1 0 1 1 0 1

3 0 0 1 1 1 1 1 1 0 0 1

4 0 1 0 0 0 1 1 0 0 1 1

5 0 1 0 1 1 0 1 1 0 1 1

6 0 1 1 0 0 0 1 1 1 1 1

7 0 1 1 1 1 1 1 0 0 0 0

8 1 0 0 0 1 1 1 1 1 1 1

9 1 0 0 1 1 1 1 0 0 1 1

10 1 0 1 0 X X X X X X X

11 1 0 1 1 X X X X X X X

12 1 1 0 0 X X X X X X X

13 1 1 0 1 X X X X X X X

14 1 1 1 0 X X X X X X X

15 1 1 1 1 X X X X X X X

1 = nyala

0 = padam

X = keadaan don‟t care

𝑌 = 𝐶 .𝐵 + 𝐷 + 𝐶.𝐵 + 𝐶.

Page 14: Pengubah BCD ke 7 segmen

Segmen a

f(A,B,C,D) = ∑m(0,2,3,5,6,7,8,9)

1 0 X 1

0 1 X 1

1 1 X X

1 1 X X

Segmen b

f(A, B, C, D) = ∑m(0,1,2,3,4,7,8,9)

1 1 X 1

1 0 X 1

1 1 X X

1 0 X X

Segmen c

f(A,B,C,D) = ∑m(0,1,3,4,5,6,7,8,9)

1 1 X 1

1 1 X 1

1 1 X X

0 1 X X

Segmen d

f(A,B,C,D) = ∑m(0,2,3,5,6,8,9)

1 0 X 1

0 1 X 1

1 0 X X

1 1 X X

𝑌 = 𝐷. + 𝐶.𝐴 + .𝐵 + 𝐶 .

= .𝐵 + 𝐷. + 𝐶.𝐴 + 𝐶 .

𝑌 = + 𝐶 + 𝐴

𝑌 = 𝐷. + 𝐷 + 𝐶. .𝐴 + .𝐶 .𝐵 + 𝐵.

𝑌 = 𝐶 + 𝐵𝐴 + .

Page 15: Pengubah BCD ke 7 segmen

Segmen e

f(A,B,C,D) = ∑m(0,2,4,8)

1 1 X 1

0 0 X 0

0 0 X X

1 0 X X

Segmen f

f(A,B,C,D) = ∑m(0,4,5,6,8,9)

1 1 X 1

0 1 X 1

0 0 X X

0 1 X X

Segmen g

f(A,B,C,D) = ∑m(2,3,4,5,6,8,9)

1 1 X 1

0 1 X 1

1 0 X X

1 1 X X

𝑌 = . + 𝐶 .

𝑌 = . + 𝐷 + 𝐶. + 𝐶.

𝑌 = 𝐶 .𝐵 + 𝐷 + 𝐶.𝐵 + 𝐶.

Page 16: Pengubah BCD ke 7 segmen

Rangkaian pada aplikasi Proteus:

a. Anoda

Percobaan menggunakan aplikasi proteus

Page 17: Pengubah BCD ke 7 segmen

Ketika LT=1; BI=0; RBI=1

Ketika LT=1; BI=1; RBI=0

Ketika LT=1; BI=0; RBI=0

Page 18: Pengubah BCD ke 7 segmen

Ketika LT=0; BI=1; RBI=1

b. Katoda

Page 19: Pengubah BCD ke 7 segmen

Ketika LT=1; BI=0; RBI=1

Ketika LT=1; BI=1; RBI=0

Ketika LT=1; BI=0; RBI=0

Page 20: Pengubah BCD ke 7 segmen

Ketika LT=0; BI=1; RBI=1

Keterangan

Keadaan LOW (0)

Keadaan HIGH (1)

G. Pembahasan

Pada praktikum 5 yang dilaksanakan pada Senin, 28 Maret 2016 membahas mengenai

rangkaian pengubah sandi BCD (Binary Code Digital) ke peraga 7 segmen atau

disebut juga dekoder TTL BCD ke 7 segmen. Piranti decoder yang digunakan ada dua

jenis, yaitu IC TTL 7447 atau 7448.

(a) Diagram IC 7447 (b) Diagram IC 7448

Kedua IC ini memiliki fungsi yang sama yaitu mengubah sandi BCD ke peraga 7

segmen, namun peruntukannya berbeda. IC 7447 adalah decoder BCD Common

Anode. Artinya keluaran dari IC ini, yaitu a,b,c,d,e,f, dan g berupa aktif rendah.

Karena decoder 7447 bekerja dalam aktif Rendah maka tampilan Seven Segmentnya

pun harus jenis Common Anode yang bekerja dalam Aktif Rendah juga. Jadi pada

piranti tampilan ini, tegangan sumbernya adalah positif +Vcc sebesar 5 Volt. Satu

tegangan sumber tunggal +5Volt dapat dipakai secara bersama-sama untuk

menyalakan LED Sven Segment. Sehingga disebut Common Anode atau Anoda

Bersama (positif bersama). Sehingga pada Anoda bersama ini, tiap segmen pada

Seven Segment menyala dalam keadaan LOW (0) dan padam dalam keadaan HIGH

Page 21: Pengubah BCD ke 7 segmen

(1). Berikut tabel kebenaran common anoda pada IC 74LS47 dan tabel kebenaran

berdasar praktikum yang telah dilakukan:

Angka

Desimal

Masukan Keluaran

D C B A a b c d e f g

0 0 0 0 0 0 0 0 0 0 0 1

1 0 0 0 1 1 0 0 1 1 1 1

2 0 0 1 0 0 0 1 0 0 1 0

3 0 0 1 1 0 0 0 0 1 1 0

4 0 1 0 0 1 0 0 1 1 0 0

5 0 1 0 1 0 1 0 0 1 0 0

6 0 1 1 0 1 1 0 0 0 0 0

7 0 1 1 1 0 0 0 1 1 1 1

8 1 0 0 0 0 0 0 0 0 0 0

9 1 0 0 1 0 0 0 1 1 0 0

Hal tersebut dapat diamati juga pada analisis aplikasi proteus pada Anoda bersama.

Berikut salah satu gambar pada analisis proteus :

Page 22: Pengubah BCD ke 7 segmen

Terlihat dengan jelas pada analisis menggunakan aplikasi Proteus bahwa masing –

masing segmen akan menyala pada keadaan LOW yang ditandai dengan titik

berwarna biru.

Jika dibandingkan tabel kebenaran berdasarkan praktikum dengan tabel kebenaran

datasheet dan analisis proteus maka praktikum yang dilakukan sesuai dengan teori

yang ada. Dimana masing – masing segmen akan menyala jika dalam keadaan LOW,

sehingga common anoda disebut dengan Aktif Rendah.

Demikian pula sebaliknya, jika menggunakan piranti decoder IC 7448 yang

merupakan decoder BCD Common Cathode yang artinya keluaran dari IC ini, yaitu:

a,b,c,d,e,f, dan g berupa Aktif Tinggi. Karena decoder 7448 bekerja dalam Aktif

Tinggi maka tampilan Seven Segmentnya pun harus jenis Common Cathode yang

bekerja dalam keadaan Aktif Tinggi juga. Sehingga pada piranti tampilan ini,

tegangan sumbernya adalah Ground. Satu tegangan sumber tunggal 0 Volt dapat

dipakai secara bersama-sama untuk menyalakan LED Seven Segment. Sehingga

disebut Common Cathode atau katoda bersama. Sehingga pada katoda bersama, tiap

segmen dari seven segment akan menyala dalam keadaan HIGH (1) dan padam dalam

keadaan LOW (0). Berikut tabel kebenaran common katoda pada datasheet IC

74LS48 dan tabel kebenaran berdasarkan praktikum:

Page 23: Pengubah BCD ke 7 segmen

Angka

Desimal

Masukan Keluaran

D C B A a b c d e f g

0 0 0 0 0 1 1 1 1 1 1 0

1 0 0 0 1 0 1 1 0 0 0 0

2 0 0 1 0 1 1 0 1 1 0 1

3 0 0 1 1 1 1 1 1 0 0 1

4 0 1 0 0 0 1 1 0 0 1 1

5 0 1 0 1 1 0 1 1 0 1 1

6 0 1 1 0 0 0 1 1 1 1 1

7 0 1 1 1 1 1 1 0 0 0 0

8 1 0 0 0 1 1 1 1 1 1 1

9 1 0 0 1 1 1 1 0 0 1 1

Berikut juga salah satu gambar analisis pada aplikasi proteus:

Terlihat pada gambar diatas bahwa masing – maisng segmen akan menyala pada

keadaan HIGH (1) yang ditandai dengan titik berwarna merah.

Jika dibandingkan tabel kebenaran berdasarkan praktikum dengan tabel kebenaran

datasheet dan analisis proteus maka praktikum yang dilakukan sesuai dengan teori

yang ada. Dimana masing – masing segmen akan menyala jika dalam keadaan HIGH,

sehingga common anoda disebut dengan Aktif Tinggi.

Keluaran IC 7447 merupakan inverter dari keluaran 7448. Sehingga aljabar boole

untuk masing – masing IC adalah sebagai berikut seperti yang telah dipaparkan pada

analisa data:

a. Anoda

Segmen a = . + . + . + .

Segmen b = + + .

Segmen c = + +

Segmen d = . + + . . + . . + .

Segmen e = . + .

Page 24: Pengubah BCD ke 7 segmen

Segmen f = . + + . + .

Segmen g = . + + . + .

b. Katoda

Segmen a = . + . + . + .

Segmen b = + + .

Segmen c = + +

Segmen d = . + + . . + . . + .

Segmen e = . + .

Segmen f = . + + . + .

Segmen g = . + + . + .

(a) Rangkaian IC 7447 (b) Rangkaian IC 7448

Pada IC 7447 dan 7448 terdapat kaki RBI, LT, dan BI/RBO, berikut fungsi dari

masing – masing kaki tersebut:

a. Pada IC 7447

LT‟ , Lamp Test: berfungsi untuk mengeset display, bila diberi logika „0‟

maka semua keluaran dari IC ini akan berlogika 0. Sehingga seven segment

akan menunjukkan angka delapan (8).

BI‟/RBO‟ , Blanking Input/Row Blanking Output: berfungsi untuk mematikan

keluaran dari IC. Bila diberi logika “0” maka semua keluaran IC akan

berlogika “1” dan seven segment akan mati.

Page 25: Pengubah BCD ke 7 segmen

RBI‟ , Row Blanking Input: berfungsi untuk mematikan keluaran dari IC jika

semua input berlogika “0”. Bila diberi logika “0”, diberi logika “1” dan diberi

logika “0” maka semua keluaran IC akan berlogika “1” dan seven segment

akan mati.

b. Pada IC 7448

LT‟ , Lamp Test: berfungsi untuk mengeset display, bila diberi logika „0‟

maka semua keluaran dari IC ini akan berlogika 1. Sehingga seven segment

akan menunjukkan angka delapan (8).

BI‟/RBO‟ , Blanking Input/Row Blanking Output: berfungsi untuk mematikan

keluaran dari IC. Bila diberi logika “0” maka semua keluaran IC akan

berlogika “0” dan seven segment akan mati.

RBI‟ , Row Blanking Input: berfungsi untuk mematikan keluaran dari IC jika

semua input berlogika “0”. Bila diberi logika “0”, diberi logika “1” dan diberi

logika “0” maka semua keluaran IC akan berlogika “0” dan seven segment

akan mati.

Lamp test (LT) akan menyalakan setiap segmen untuk melihat apakah segmen-

segmen tersebut beroperasi. Selanjutnya Ripple Blanking Input RBI akan mematikan

semua segmen bila rangkaian diaktifkan.

Fungsi resistor pada setiap jalur output dekoder BCD ke 7 segmen tersebut adalah

sebagai pembatas arus maksimum yang mengalir pada LED penampil 7 segmen dan

arus yang mengalir pada IC dekoder BCD ke 7 segmen yang digunakan dimana arus

maksimum yang diperbolehkan maksimum 20 mA.

H. Kesimpulan

1. Hasil Praktikum yang diperoleh sesuai dengan teori.

2. Cara kerja rangkaian pengubah sandi BCD ke peraga 7 segmen :

a. Anoda Bersama : bekerja pada keadaan Aktif Rendah, tiap segmen pada Seven

Segment akan menyala dalam keadaan LOW (0) dan padam pada keadaan

HIGH (1)

b. Katoda Bersama : bekerja pada keadaan Aktif Tinggi, tiap segmen pada Seven

Segment akan menyala dalam keadaan HIGH (1) dan padam pada keadaan

LOW (0)

Page 26: Pengubah BCD ke 7 segmen

Daftar Pustaka

Datasheet IC 7447 dan IC 7448 (http://www.alldatasheet.com/)

http://elektronika-dasar.web.id/dekoder-ttl-bcd-ke-7-segment/

diakses pada Jum‟at, 8 April 2016 10:00 WIB

https://nursamsa32.wordpress.com/tag/ic-7447/

diakses pada Minggu, 10 April 2016 22:20 WIB

Sumarna. 2015. Percobaan 4:Pengubah Sandi Bcd Ke Peraga 7 Segmen. Ebook

Triadi, Achmad & Nurmalia Nasution. 2013. Decoder dan Encoder. Universitas Lampung.

ebook

Widjanarka, Wijaya. 2006. Teknik Digital. Jakarta :Erlangga