Unidad 3: Convertidores Principios eléctricos y Aplicaciones digitales.

33
Página 0 de 32 Descripción breve El siguiente trabajo revisa las técnicas y conceptos básicos de la conversión análogo-digital y digital-análogo, para ello se describen los dispositivos clásicos junto con sus respectivas estructuras internas. CONVERTIDORES Principios Eléctricos Y Aplicaciones Digitales Alumno: Wilfrido Lopez Lopez ESPECIALIDAD: ING. SISTEMAS COMPUTACIONALES UNIDAD III PROFESOR: PEDRO GARCÌA SÀNCHEZ NUMERO DE CONTROL: 13190179 . HEROICA CIUDAD DE JUCHITÁN DE ZARAGOZA, OAX. A 01 DE JULIO DEL 2015

Transcript of Unidad 3: Convertidores Principios eléctricos y Aplicaciones digitales.

Página 0 de 32

Descripción breve El siguiente trabajo revisa las técnicas y conceptos básicos de la conversión análogo-digital y digital-análogo, para ello se describen los dispositivos clásicos junto con sus respectivas estructuras internas.

CONVERTIDORES Principios Eléctricos Y Aplicaciones Digitales

Alumno: Wilfrido Lopez Lopez

ESPECIALIDAD:

ING. SISTEMAS COMPUTACIONALES

UNIDAD III

PROFESOR:

PEDRO GARCÌA SÀNCHEZ

NUMERO DE CONTROL:

13190179

.

HEROICA CIUDAD DE JUCHITÁN DE ZARAGOZA,

OAX. A 01 DE JULIO DEL 2015

ÍNDICE.

CONTENIDO

UNIDAD III:

ÍNDICE. ....................................................................................................................................... 1

LISTA DE FIGURAS .................................................................................................................... 2

INTRODUCCIÓN: ................................................................................................................. 4

3.- CONVERTIDORES. ..................................................................................................... 5

3.1 ANALÓGICO/DIGITAL A/D .......................................................................................... 6

3.1.1 TIPOS ................................................................................................................................. 9

3.1.2 APLICACIONES ........................................................................................................... 18

3.2 DIGITAL/ANALÓGICO D/A. ............................................................................... 19

3.2.1 TIPOS. .............................................................................................................................. 22

3.2.2. APLICACIONES .......................................................................................................... 26

CONCLUSIÓN...................................................................................................................... 27

BIBLIOGRAFIA ................................................................................................................... 28

TAREA UNIDAD II:

EJERCICIOS A RESOLVER............................................................................... 29

LISTA DE FIGURAS

UNIDAD III: CONVERTIDORES.

Figura Nota: Observe que los ADC y DAC funciona como "Interpretes" entre la computadora y el mundo analógico……………………………….………………….. (5)

3.1 ANALÓGICO/DIGITAL A/D

Figura 2: Ejemplo señal analógica…………………………………………..….……. (6) Figura 3: Ejemplo señal digital…………………………...….…………………….….. (7) Figura 4: Clasificación de los convertidores………………...….………………….… (9)

3.1.1 Tipos

Figura 5: Representación conversor A/D…………………………….………………. (9) Figura 6: Conversor Sigma-delta…………………...……………………….……… (10) Figura 7: Conversor doble rampa……………...……………………….………..…. (10) Figura 8: Conversor aproximaciones sucesivas……………...………………….... (11) Figura 9: Convertidor A/D tipo Flash de 8 bits que usa 7 convertidores...……..... (12) Figura 10: Ilustración de varios muestreos por ciclo…………………….………… (13) Figura 11: Diagrama a bloques básico de un convertidor ADC por aproximaciones sucesivas de 4 bits……………………………………………………………..……… (13) Figura 12: Convertidor A/D tipo rampa de 8 bits………………………………….... (14) Figura 13: ilustra una secuencia de conversión con 4 bits…………..……………. (15) Figura 14: Típico ADC de seguimiento de 8 bits……………………………..…….. (15) Figura 15: ADC de seguimiento………………………..………….………………... (16) Figura 16: Diagrama del ADC de pendiente simple……..………….………..……. (17) Figura 17: Esquema de un ADC de pendiente doble como referencia…...……… (17)

3.1.2 APLICACIONES

Figura 18: Clasificación A/D resolución Vs Fs……………………………………… (18)

3.2 DIGITAL/ANALÓGICO D/A. Figura 19: Esquema general de los Convertidores Digital/Analógico………….... (20) Figura 20: Relación entre la entrada digital D y la salida analógica x de un conversor digital-analógico. En este ejemplo n = 3…………………………………………….. (21) Figura 21: Estructura de un conversor digital-analógico. Xref es la referencia, dn..d1 la entrada digital y x la respuesta analógica………………………………………… (21)

3.2.1 TIPOS. Figura 22: Esquema del Convertidor D/A con resistencias ponderadas..………. (22) Figura 23: Conversor D/A con red en escalera R-2R….…………………………. (23) Figura 24: Conversor D/A con red en escalera Invertida………………………….. (24) Figura 25: Error de exactitud en un conversor digital analógico…………………. (25)

INTRODUCCIÓN:

En la mayoría de los sistemas electrónicos resulta conveniente efectuar las

funciones de regulación y control automático de sistemas mediante técnicas

digitales, sin embargo en muchos de los casos la señal disponible normalmente es

analógica, ya que son muchos los transductores que poseen su salida eléctrica

analógica, correspondiente a la magnitud medida, como pueden ser las señales de

audio, de video, los puentes de medición, las celdas extensiométricas, los

termopáres, etc, esto obliga a tener que efectuar una conversión analógica digital,

las señales digitales minimizan además la distorsión producida por las

imperfecciones del sistema de transmisión, por otro lado puede ser necesario actuar

analógicamente sobre un controlador ó algún elemento de control final, o se debe

efectuar una representación analógica sobre un registrador, un monitor, papel, etc.

lo que obliga a realizar la conversión inversa, digital analógica, se hace necesario

disponer de elementos capaces de efectuar esta conversión en uno u otro sentido,

con características de velocidad y precisión adecuadas a cada caso.

El desarrollo de los microprocesadores y procesadores digitales de señal (DSP), ha

permitido realizar tareas que durante años fueron hechas por sistemas electrónicos

analógicos. Por otro lado, como que el mundo real es análogo, una forma de enlazar

las variables analógicas con los procesos digitales es a través de los sistemas

llamados conversores de analógico - digital (ADC- Analogue to Digital Converter) y

conversores digital - analógico (DAC- Digital to Analogue Converter).

El objetivo básico de un ADC es transformar una señal eléctrica análoga en un

número digital equivalente. De la misma forma, un DAC transforma un número

digital en una señal eléctrica análoga.

Esta función exige que los pasos intermedios se realicen de forma óptima para no

perder información.

En los siguientes apartados se describen los conceptos básicos de conversión de

señal, técnica de implementación para los ADC o DAC, característica y parámetros

que los definen.

3.- CONVERTIDORES.

En la electrónica podemos encontrar dos clases de convertidores:

1. Convertidor Analógico-Digital.

2. Convertidor Digital-Analógico.

Una conversión analógica-digital consiste en la transcripción de señales analógicas

en señales digitales, con el propósito de facilitar su procesamiento (encriptación,

compresión, etc.) y hacer la señal resultante (la digital) más inmune al ruido y otras

interferencias a las que son más sensibles las señales analógicas.

La conversión digital-analógica es un proceso que permite la lectura del código

binario grabado en un CD. Tiene la misma frecuencia de muestreo (controlada por

un reloj) con que se grabó el sonido en el cd y tiene una cantidad de bits

determinada. Con este aparato se pueden leer los cds y reproducirse. Por eso el

nombre: Convierte de Digital a Analógico.

Los sistemas digitales llevan a cabo todas las operaciones matemáticas internas,

mediante el uso de circuitería y operaciones digitales. Cualquier información del

mundo exterior que se quiera introducir a un sistema digital, primero debe ponerse

en forma digital. A continuación se ilustra el diagrama a Bloques de los

convertidores analógicos-digitales (ADC) y digital/analógico (DAC) que utilizan para

conectar la computadora con el mundo analógico.

Transductor: Es un dispositivo que convierte una variable física en una eléctrica.

Algunos transductores de uso común son las terminales, las fotoceldas, los

fotodiodos, los medidores de flujo, los transductores de presión y los tacómetros. La

salida eléctrica de un transductor es una corriente o un voltaje analógico

proporcional a la variable física que se está vigilando.

Convertidor Analógico-Digital (ADC): La salida analógica (eléctrica) del transductor

es la entrada al ADC. El ADC convierte esta entrada en una salida digital. Ésta

última consiste de varios bits que representan el valor de la entrada analógica.

Sistemas digitales (Computadora): La representación digital de la variable del

proceso se transmite desde ADC hacia la computadora, que lo almacena y procesa

de acuerdo con las instrucciones del programa de ejecución.

Convertidor Digital-Analógico (DAC): La salida digital de la computadora se conecta

a un DAC, que la convierte a un voltaje o corriente proporcional a la información de

bits que tiene a su entrada.

Actuador: Es un circuito o dispositivo que realiza una acción para el control de la

variable física, regida por la señal analógica que proviene del DAC. Ejemplo:

Máquinas robotizadas, automotrices, etc.

3.1 ANALÓGICO/DIGITAL A/D

Los conversores A/D son dispositivos cuya función es transformar una señal

analógica en una digital. A continuación se va a explicar el significado de los tipos

de señales.

Señales Analógicas.

Son variables eléctricas que evolucionan en el tiempo en forma análoga a alguna

variable física. Estas variables pueden presentarse en la forma de una corriente,

una tensión o una carga eléctrica. Varían en forma continua entre un límite inferior

y un límite superior. En la figura 2 se puede observar un ejemplo de señal analógica.

Figura 2: Ejemplo señal analógica.

En la figura 2 se muestra un ejemplo de señal analógica. Ésta corresponde a la

evolución de la temperatura en un tiempo de 50 minutos medida por un sensor de

temperatura.

Señales digitales.

Son variables eléctricas con dos niveles bien diferenciados que se alternan en el

tiempo transmitiendo información según un código previamente acordado. Cada

nivel eléctrico representa uno de dos símbolos: 0 ó 1. Los niveles específicos

dependen del tipo de dispositivos utilizado. Por ejemplo, si se emplean

componentes de la familia lógica TTL (transistor-transistor-logic) los niveles son 0 V

y 5 V, aunque cualquier valor por debajo de 0,8 V es correctamente interpretado

como un 0 y cualquier valor por encima de 2 V es interpretado como un 1. En la

figura 3 se muestra un ejemplo de señal digital.

Figura 3: Ejemplo señal digital.

Conversión Analógica-Digital.

La conversión Analógico-digital consiste en la transformación de señales analógicas

a señales digitales, el objetivo de esta conversión es permitir el procesamiento de

las señales por dispositivos digitales, como puede ser un procesador o un

microcontrolador.

La ventaja de tratar señales digitales, es que estas son más inmunes a ruidos y

otras interferencias que sí afectan a las señales analógicas.

Ventajas e inconvenientes de la conversión analógico digital.

Ventajas:

No introduce ruidos en la transmisión.

Se guarda y procesa mucho más fácilmente que la analógica.

Posibilita almacenar grandes cantidades de datos en diferentes soportes

Permite detectar y corregir errores con más facilidad.

Las grabaciones no se deterioran con el paso del tiempo como sucede con

las cintas analógicas.

Permite realizar regrabaciones sucesivas sin que se pierda ninguna

generación y, por tanto, calidad.

Permite la compresión para reducir la capacidad de almacenamiento.

Facilita la edición visual de las imágenes y del sonido en un ordenador o

computadora personal, utilizando programas apropiados.

El rayo láser que graba y reproduce la información en CDs y DVDs nunca

llega a tocar físicamente su superficie.

No la afecta las interferencias atmosféricas (estática) ni de otro tipo cuando

se transmite por vía inalámbrica, como ocurre con las transmisiones

analógicas.

Desventajas:

Para su transmisión requiere un mayor ancho de banda en comparación

con la analógica.

La sincronización entre los relojes de un transmisor inalámbrico digital y

el receptor requiere que sea precisa, como ocurre con el GPS (Global

Positioning System - Sistema de Posicionamiento Global).

Las transmisiones de las señales digitales son incompatibles con las

instalaciones existentes para transmisiones analógicas.

En la conversión analógica-digital intervienen cuatro procesos:

1. Muestreo: consiste en la toma periódica de muestras de la señal analógica.

1. La velocidad con la que se toman muestras de la señal de entrada se le

denomina frecuencia de muestreo o Fs (Frequency Sample).

2. Retención: la retención es el tiempo en el que se retiene la señal para que se

realice la cuantificación de la misma.

3. Cuantificación: proceso en el que se mide la señal de entrada de cada una

de las muestras y se asigna un margen de valor de la señal analizada a un

único nivel de salida.

4. Codificación: proceso en el que se transforma los valores obtenidos en la

cuantificación en binarios.

Se puede decir que la señal pasa a ser digital a partir del proceso de cuantificación

y codificación.

Los parámetros característicos que definen los conversores A/D son los siguientes:

Resolución: La resolución de un convertidor se define como el número de

distintos valores digitales que se corresponden con los distintos valores

analógicos de entrada.

Fondo de escala: corresponde con el mínimo valor de tensión de entrada

analógica que puede medir el conversor.

Tiempo de conversión: es el tiempo que tarda el conversor en realizar la

conversión.

Rango de entrada: es el rango de tensión analógica de entrada que tolera el

conversor.

Error de conversión: es el error que se induce en la medida. Al realizar la

conversión, éste error determina la precisión del conversor.

3.1.1 Tipos

Los conversores A/D se pueden clasificar como se muestra en la figura 4.

Figura 4: Clasificación de los convertidores.

En la figura 4 se exponen distintos tipos de convertidores A/D. Aunque no son los

únicos que existen, estos son los más típicos. La representación gráfica del

convertidor A/D se muestra en la figura 5.

Figura 5: Representación conversor A/D.

A continuación se va a realizar una breve descripción del funcionamiento de los

distintos tipos de conversores:

Conversores sigma delta: La operación básica de un convertidor Sigma-Delta es

intercambiar la tasa de muestreo por resolución. Las señales son muestreadas a

una tasa mucho mayor que la de Nyquist, pero con un solo bit de resolución en

amplitud.

Un típico conversor Sigma-Delta es mostrado en la figura 6.

Figura 6: Conversor Sigma-delta.

La señal análoga de entrada y el flujo de bits (o bitstream), cuya densidad de un bit

es una representación de la magnitud de la señal análoga, son sumadas. Luego son

integradas y entran a un comparador, el cual tiene como salida 0 o 1 dependiendo

si la salida del integrador es mayor o menor que el voltaje de referencia del

comparador.

Conversores doble rampa:

Este tipo de conversor se basa en el proceso de carga y descarga del condensador

para realizar la conversión Analógica-Digital de las señales. Durante un

determinado tiempo el conversor muestrea la señal de entrada y después conmuta

con la señal realimentada. El condensador se carga con el valor de la señal de

entrada y se produce la descarga hasta llegar a 0 V, mediante un comparador a 0

se cambia el signo de la señal realimentada, y se produce la oscilación de la señal

realimentada. El número de pulsos que se produce corresponde con el valor de la

conversión. En la figura 7 se muestra el diagrama de un convertidor de doble rampa.

Figura 7: Conversor doble rampa.

Conversores de aproximaciones sucesivas:

Este tipo de convertidor es el más utilizado cuando se requieren velocidades de

conversión entre medias y altas del orden de algunos microsegundos a décimas de

microsegundos.

El diagrama de bloque puede verse en la figura 8. En este convertidor se cambia el

contador y el circuito de control por un sistema de conteo de aproximaciones

sucesivas que, básicamente, está formado por un registro de desplazamiento de n

bits controlados por un circuito digital. Estos circuitos suelen suministrarlos los

fabricantes de Circuitos Integrados.

Figura 8: Conversor aproximaciones sucesivas.

El proceso de conversión para este tipo de convertidores se basa en la realización

de comparaciones sucesivas de manera descendente o ascendente, hasta que se

encuentra la combinación que iguala la tensión entregada por el D/A y la de entrada.

Convertidor Analógico/Digital Flash o Paralelo.

El método flash utiliza comparadores que comparan una serie de tensiones de

referencia con la tensión de entrada analógica. Cuando la tensión analógica

sobrepasa a la tensión de referencia de un comparador determinado, se genera un

nivel Altota.

Figura 9: Convertidor A/D tipo Flash de 8 bits que usa 7 convertidores.

En la figura 9 muestra un convertidor de 8 bits que usa 7 convertidores, no se

requiere convertidor para el caso en que todas las comparaciones sean cero En

general se requieren 2n-1 comparadores para la conversión a un código binario de

n bits. La salida de cada convertidor se aplica un circuito codificador de prioridad,

en el cual el código binario queda determinado por la entrada de mayor orden que

se encuentre a nivel alto. Su principal ventaja de este comparador es su alta

velocidad de muestreo que se puede alcanzar, aunque presenta la desventaja de

que se necesitan muchos comparadores para un ADC de un número binario de

tamaño razonable. La velocidad de muestreo determina la precisión con la que la

secuencia de códigos digitales representa la entrada analógica del ADC. Cuando

más muestras se toman en una unidad de tiempo, más precisa es la señal digital

que representa a la señal analógica.

Aquí cabe una pregunta: ¿Con que velocidad se debe muestrear una señal

analógica, es decir cuantas veces por unidad de tiempo es lo mínimo con que se

requiere muestrear una señal analógica? La teoría propuesta por Nyquist establece

que una señal de entrada variante con el tiempo puede reproducirse con fidelidad

si la velocidad de muestreo es por lo menos dos veces tan alta como la mayor

componente de la frecuencia de la señal.

Figura 10: Ilustración de varios muestreos por ciclo.

La mayor componente de la frecuencia de una señal analógica es de 3500 Hz.

Determina la mínima velocidad de muestreo.

Solución: La mínima velocidad de muestreo requerida es 2 X 3500 = 7000 muestras

por segundo.

ADC de aproximaciones sucesivas.

Este tipo de convertidor es el que sigue en menor tiempo de conversión al

convertidor con método Flash. La figura 11 muestra el diagrama a bloques básico

de un convertidor ADC por aproximaciones sucesivas de 4 bits.

Figura 11: Diagrama a bloques básico de un convertidor ADC por aproximaciones sucesivas de 4 bits.

Descripción del circuito.

Está formado por un DAC, un registro de aproximaciones sucesivas

(SAR, successive aproximation register) y un comparador. Los bits de entrada al

DAC se habilitan a ALTO, de uno en uno sucesivamente. Comenzando por

el bit más significativo (bMs). Cada vez que se habilita un bit, el comparador

produce una salida que indica si la tensión analógica de entrada es mayor o menor

que la salida del DAC. Si la salida del DAC es mayor que la entrada analógica, la

salida del comparador está a nivel BAJO, haciendo que el bit en el registro pase a

cero. Si la salida es menor que la entrada analógica el bit 1 se mantiene en el

registro. El sistema realizara esta operación primero con en bMs, luego con el

siguiente bit más significativo, después con el siguiente, y así sucesivamente.

Después de que todos los bits del DAC hayan sido aplicados, el ciclo de conversión

está completo.

ADC de contador de rampa en escalera.

El método de rampa en escalera para la conversión A/D se conoce también como

método de Rampa digital o método contador. Se emplea un DAC y un contador

binario para generar el valor digital correspondiente a una entrada analógica. La

figura 12 presenta un diagrama de este tipo de convertidor.

Figura 12: Convertidor A/D tipo rampa de 8 bits.

El método de rampa en escalera es más lento que el método flash porque, en caso

de entrada máxima, el contador debe pasar a través del número máximo de estados

antes de realizar la conversión. Para una conversión de 8 bits, esto significa un

máximo de 256 estados y cado estado consume un cierto tiempo La figura 13 ilustra

una secuencia de conversión con 4 bits. Obsérvese que, para cada muestra, el

contador debe contar desde cero hasta el escalón en el que la tensión de referencia

alcanza a la tensión de entrada analógica. El tiempo de conversión es variable, en

función de la tensión analógica.

La figura 13: ilustra una secuencia de conversión con 4 bits.

ADC de rastreo o seguimiento.

El método de seguimiento utiliza un contador ascendente / descendente y es más

rápido que el método de rampa digital, porque el contador no se pone a cero

después de cada muestreo sino que sigue a la entrada analógica La figura 14

muestra un típico ADC de seguimiento de 8 bits.

Figura 14: Típico ADC de seguimiento de 8 bits.

La salida del comparador se aplica al modo del control del contador, cuando está

BAJO (0 lógico), hace que el contador cuente progresivamente, y recíprocamente,

cuando esta ALTO (1 lógico), hace que el contador cuente en forma descendente.

En la figura 15 se muestra que cuando el ADC de rastreo ha alcanzado el intervalo

idóneo, entonces el convertidor puede seguir de forma continua el voltaje analógico.

La rapidez de rastreo no es ningún problema a medida que las variaciones de la

entrada sean relativamente lentas.

A fin de reducir el error inicial de las primeras conversiones suele fijarse el contador

a media escala, es decir, en 100…000.

Figura 15: ADC de seguimiento.

ADC de pendiente simple.

A diferencia de los métodos de rampa en escalera y seguimiento, el convertidor de

pendiente simple no requiere un DAC. Se utiliza un generador de rampa lineal para

generar una tensión de referencia de pendiente constante. En la figura 16 se

muestra el diagrama del ADC de pendiente simple. Al comienzo del ciclo de

conversión, el contador está en estado RESET y la salida del generador de rampa

es 0 V. En esta situación, la entrada analógica es mayor que la tensión de referencia

y, por tanto, se produce un nivel ALTO en la salida del comparador. Este nivel alto

habilita la señal del reloj para el contador y arranca el generador de rampa.

Figura 16: Diagrama del ADC de pendiente simple.

ADC de doble pendiente.

El funcionamiento del ADC de doble pendiente es similar al de pendiente simple,

excepto en que se utiliza una rampa de pendiente variable y otra de pendiente fija.

Este tipo de convertidor se utiliza comúnmente en voltímetros digitales y otros tipos

de instrumentos de medida.

Se utiliza un generador de rampa (integrador), A1, para generar las

características de pendiente doble. En la figura 17 se presenta el esquema de un

ADC de pendiente doble como referencia.

Figura 17: Esquema de un ADC de pendiente doble como referencia.

3.1.2 APLICACIONES

Los conversores analógico-digital tienen una gran cantidad de aplicaciones. Están

presentes en todo tipo de sistemas como pueden ser sistemas de

telecomunicaciones, sistemas de control, medidas, etc.

También tiene aplicaciones en sistemas donde es necesario medir señales

analógicas, como pueden ser sensores de temperatura, proximidad o en sistemas

donde hay que realizar el procesamiento y/o almacenamiento de audio o cualquier

otro tipo de información. Como estas señales no pueden ser analizadas

directamente por un procesador, es necesaria la utilización de conversores A/D.

La elección del convertidor está directamente relacionado con la velocidad a la que

cambia la señal analógica que se quiere modular, es decir, la frecuencia de la

misma, por ejemplo, para muestrear la temperatura no será necesario el uso de un

convertidor con una frecuencia de muestreo alta ya que la temperatura no varía con

rapidez en el tiempo, por otra parte, será necesario un conversor de gran rapidez

cuando se requiera muestrear una señal de alta frecuencia como puede ser la señal

de telecomunicaciones.

En la figura 18 se muestra la clasificación de los convertidores A/D en función de la

frecuencia de muestreo y la resolución de los mismos.

Figura 18: Clasificación A/D resolución Vs Fs.

Como se puede ver en la figura 18, los convertidores sigma-delta son los que tienen

mayor resolución, mientras que los de mayor frecuencia de conversión se consiguen

con los convertidores de tipo paralelo como lo es el Flash pero, por lo contrario,

tienen menor resolución. De la figura 18 se puede sacar como conclusión que una

mayor frecuencia de muestreo implica a su vez una disminución de la resolución.

Los conversores A/D se basan en el teorema de Nyquist, que dice que la frecuencia

de muestreo del convertidor tiene que ser de al menos dos veces la frecuencia de

la señal analógica de entrada, para que esta pueda ser representada correctamente.

Ventajas de las señales digitales.

Cuando una señal digital es atenuada o experimenta perturbaciones leves, puede

ser reconstruida y amplificada mediante sistemas de regeneración de señales.

Cuenta con sistemas de detección y corrección de errores que se utilizan cuando la

señal llega al receptor. Entonces, comprueban (uso de redundancia) la señal,

primero para detectar algún error y, algunos sistemas, pueden luego corregir alguno

o todos los errores detectados previamente.

Facilidad para el procesamiento de la señal. Cualquier operación es fácilmente

realizable a través de cualquier software de edición o procesamiento de señal.

La señal digital permite la multigeneración infinita sin pérdidas de calidad.

Es posible aplicar técnicas de compresión de datos sin pérdidas o técnicas de

compresión con pérdidas basados en la codificación perceptual mucho más

eficientes que con señales analógicas.

3.2 DIGITAL/ANALÓGICO D/A.

La conversión digital-analógica (D/A) es el proceso de tomar un valor representando

en código digital (como binario directo o BCD) y convertirlo en un voltaje o corriente

que sea proporcional al valor digital. Como ejemplo, a continuación se ilustra el

diagrama a bloques, su tabla de valores y sus formas de onda de salida de un

contador de 4 bits, para convertir de digital a analógica, su señal en código digital.

En general:

Salida analógica= K * entrada digital

Donde:

K= resolución, factor de proporcionalidad o tamaño de escalón (tiene un valor

constante, puede estar en unidades de voltaje o corriente).

Un convertidor digital analógico transfiere información expresada en forma digital a

una forma analógica, para ubicar la función de este dispositivo conviene recordar

que un sistema combina y relaciona diversos subsistemas que trabajan diferentes

tipos de información analógica, como son; magnitudes eléctricas, mecánicas, etc,..

Lo mismo que un micrófono, un graficado, o un motor y estos deberán interactuar

con subsistemas que trabajan con informaciones digitales, como una computadora,

un sistema lógico, un sistema con microprocesador, con microcontrolador o con

algún indicador numérico.

Las características básicas que definen un convertidor digital analógico son en

primer lugar, su resolución que depende del número de bits de entrada del

convertidor, otra característica básica es la posibilidad de conversión unipolar ó

bipolar, una tercera característica la constituye el código utilizado en la información

de entrada, generalmente los convertidores digitales analógicos operan con el

código binario natural o con el decimal codificado en binario (BCD), el tiempo de

conversión es otra característica que definen al convertidor necesario para una

aplicación determinada, y se define como el tiempo que necesita para efectuar el

máximo cambio de su tensión con un error mínimo en su resolución, otras

características que definen al convertidor son; su tensión de referencia, que puede

ser interna o externa, si es externa puede ser variada entre ciertos márgenes, la

tensión de salida vendrá afectada por este factor, constituyéndose éste a través de

un convertidor multiplicador, así mismo deberá tenerse en cuenta, la tensión de

alimentación, el margen de temperatura y su tecnología interna.

Figura 19: Esquema general de los Convertidores Digital/Analógico.

El primer bloque, denominado registro, almacena la información durante el tiempo

necesario para la conversión, quedando libres las líneas de comunicación. Si la

información viene en serie, en lugar de paralelo, como se indica en la figura, los

registros además llevan a cabo la conversión serie-paralelo. El segundo bloque los

constituyen los denominados conmutadores electrónicos, cuya misión consiste en

conectar una resistencia a la tensión de referencia, o bien, derivarla a masa. Se

precisa que el conmutador presente la mínima resistencia, comparada con el valor

de la resistencia que conecta, ya que de otra forma daría lugar a importantes

errores.

Partimos de una señal digital D = dndn-1... d1 en paralelo que responde a la

codificación binaria natural y una referencia Xref (podría ser una tensión o una

corriente) y pretendemos obtener una señal analógica x que varíe de a saltos

iguales a Xref /2n entre 0 y (2n – 1) Xref /2n = Xref (1 − 2-n), como se muestra en la

figura 20.

Figura 20: Relación entre la entrada digital D y la salida analógica x de un conversor digital-analógico.

En este ejemplo n = 3.

La estructura genérica de este tipo de conversores es la que se ha indicado en la

Figura 21.

Figura 21: Estructura de un conversor digital-analógico. Xref es la referencia, dn ...d1 la entrada digital

y x la respuesta analógica.

Los convertidores D/A tienen como misión transformar expresiones o informaciones

codificadas en binario a valores de tipo analógicos equivalentes, en forma de tensión

o de corriente. La transformación se realiza, haciendo corresponder a cada una de

las posibles palabras de entrada (número de combinaciones con n bits), una señal

única (tensión o corriente) mediante la actuación de una señal de referencia, que

generalmente suele consistir en una tensión. De esta forma a la salida del

convertidor se obtiene una señal de valores discretos y no una señal de variación

continua.

3.2.1 TIPOS.

La diferencia fundamental entre unos convertidores y otros reside en el tipo de red

resistiva utilizada y en la fuente de referencia que puede ser de tensión o de

corriente. Desde el punto de vista de la red empleada existen 3 tipos principales de

convertidores Digital/Analógico:

Convertidores D/A con resistencias ponderadas.

Convertidores D/A con red R-2R en escalera.

Convertidores D/A con escalera invertida.

Convertidor D/A con resistencias ponderadas.

Este tipo de convertidor, responde al esquema de la figura siguiente. La red de resistencias está formada por un conjunto de valores que se obtienen a partir de una de ellas, R, dividiéndolas sucesivamente por potencias crecientes de 2. Todas las resistencias se conectan a la entrada de un amplificador operacional, conectado en modo sumador.

Figura 22: Esquema del Convertidor D/A con resistencias ponderadas.

La tensión de salida del amplificador operacional será:

V0 = -RL.Vref (1/R0.S0 + 1/R1.S1 +..+ 1/Rn-1.Sn-1)

Donde Si tomará el valor 0 ó 1, según sea el valor del bit correspondiente.

La exactitud de este sencillo convertidor, depende de la precisión de las resistencias, siendo además necesario que el valor de dichas resistencias no varíe con la temperatura. Un grave problema de este convertidor es tener que disponer de un gran número de resistencias de mucha precisión, que además deberán tener valores bastantes elevados.

Convertidor D/A con Red R-2R en Escalera.

El principio de este conversor consiste en dividir en dos la corriente que hay en cada

nudo. Por ejemplo, si nos fijamos en el nudo A de la figura, la mitad de la corriente

que fluye a la derecha del nudo es aportada a través de la resistencia de valor 2R

correspondiente al MSB y la otra mitad es aportada a través de la resistencia de

valor R, por la que circula la corriente asociada a los bits de un peso menor al MSB.

La red está construida de tal forma que el efecto de la puesta a "1" de una línea de

entrada provoca, en la entrada del amplificador operacional, una intensidad de

corriente proporcional al peso del bit.

Figura 23: Conversor D/A con red en escalera R-2R.

Ventajas.

Solamente emplea resistencias de dos valores diferentes (R y 2R), por lo que

resuelve el problema que se planteó anteriormente en cuanto a la gran disparidad

de los valores resistivos.

Mediante los conversores R-2R necesitamos unos valores resistivos que son fáciles

de obtener, la variación de las resistencias con la temperatura será similar en todas

ellas y se pueden emplear valores pequeños cuando sea necesario implementar

conversores de alta velocidad.

Inconvenientes.

La desventaja que poseen reside en que necesitamos el doble de resistencias que

en el caso del conversor de resistencias ponderadas. Además la corriente que

inyecta el bit menos significativo, tiene un retardo de propagación superior a la

inyectada por el MSB, lo cual puede provocar un mayor tiempo de conversión.

Conversores D/A con Escalera Invertida.

La configuración de los conversores con red R-2R en escalera poseía una

desventaja frente al nuevo modelo de escalera invertida. Si retrocedemos a la figura

del esquema del conversor anterior podemos observar que la red R-2R está

conectada directamente a la entrada del amplificador operacional, mientras que los

interruptores conectan el extremo de cada resistencia bien a la tensión de referencia

VREF, bien a masa. Si ahora nos fijamos en la siguiente figura podemos observar

que la red R-2R se ha invertido.

Figura 24: Conversor D/A con red en escalera Invertida.

Así se ha conectado la salida de dicha red a la tensión de referencia, mientras que

ahora cada una de las resistencias se conecta bien a masa o bien a la entrada del

amplificador operacional.

Ventajas.

En esta configuración de red en escalera invertida, la intensidad que circula por la

red no cambia, independientemente del estado del interruptor de cada resistencia.

Implica que la caída de tensión en las resistencias no varía y, por tanto, no se

produce retardo de propagación por la carga o descarga de capacidades parásitas.

Por otro lado, la intensidad de corriente suministrada por la tensión de referencia,

VREF, es constante ya que, independientemente de la posición del interruptor, dicha

fuente siempre ve una resistencia equivalente de valor R.

Además en la red en escalera convencional, los interruptores deben conectar y

desconectar toda la tensión de referencia, mientras que en la red en escalera

invertida esto no es así, por lo que no se verán obligados a trabajar con un margen

dinámico tan grande.

Especificaciones de los conversores D/A

Para una aplicación efectiva de los conversores digital-analógicos es preciso

conocer y saber interpretar las especificaciones de los mismos, ya que ponen de

manifiesto las limitaciones así como las verdaderas prestaciones, que en muchos

casos difieren considerablemente de la idealidad. A continuación presentamos una

descripción de las especificaciones más importantes.

Resolución: es la cantidad de bits o dígitos binarios que acepta en su entrada.

También puede expresarse como el porcentaje del valor nominal máximo (fondo de

escala). Ejemplo: un conversor de 10 bits también puede tener su resolución

expresada como 1/210 ≅ 0,0976 %. Observar que la resolución por sí sola no indica

nada respecto a la precisión del conversor.

Exactitud: es la máxima desviación respecto a la línea recta que une el mínimo y

el máximo valor ideales. Se expresa en LSB (least significant bit), lo cual significa

que se usa el salto mínimo nominal como unidad. Otra forma de expresarlo es en

porcentaje del valor máximo nominal. La exactitud ideal es 0 LSB. Es necesario

tener en cuenta que esta especificación incluye todos los errores posibles del

conversor (figura 25).

Figura 25: Error de exactitud en un conversor digital analógico.

Tiempo de establecimiento: Es el máximo tiempo transcurrido luego de un cambio

de código de entrada arbitrario para alcanzar el valor analógico correspondiente con

un error de a lo sumo ± 0,5 LSB. El tiempo de establecimiento de un conversor D/A

tiene dos componentes: una debida al comportamiento dinámico lineal y otra debido

al slew-rate del amplificador operacional (fenómeno no lineal). La primera se debe

a las capacidades parásitas en paralelo con las llaves analógicas, que hacen que la

conmutación entre un código de entrada y otro no sea instantánea. Sus

características son similares a las de cualquier transitorio, con una aproximación

exponencial al valor final. La componente debida al slew-rate del amplificador se

caracteriza por un crecimiento lineal con pendiente fija, por lo cual cuanto mayor

sea la amplitud del salto (por ejemplo un cambio en la entrada de 00...0 a 11...1)

mayor será el tiempo de crecimiento. En general predomina el efecto del slew-rate,

salvo que se usen amplificadores de muy alta velocidad.

Derivas con la temperatura: cada uno de los parámetros anteriores es susceptible

de cambiar con la temperatura, por ejemplo el error de no linealidad o de fondo de

escala (error de escala). Se especifica en % del fondo de escala nominal por ºC (%

FS / ºC).

Deriva por envejecimiento: El envejecimiento también altera los valores

especificados.

3.2.2. APLICACIONES

Las aplicaciones más significativas del DAC son; En instrumentación y control automático, son la base para implementar

diferentes tipos de convertidores analógico digitales, así mismo, permiten obtener, de un instrumento digital, una salida analógica para propósitos de graficación, indicación o monitoreo, alarma, etc.

El control por computadora de procesos o en la experimentación, se requiere de una interface que transfiera las instrucciones digitales de la computadora al lenguaje de los actuadores del proceso que normalmente es analógico.

En comunicaciones, especialmente en cuanto se refiere a telemetría o transmisión de datos, se traduce la información de los transductores de forma analógica original, a una señal digital, la cual resulta más adecuada para la transmisión.

CONCLUSIÓN

Los sistemas ADC y DAC son necesarios cuando se realiza procesamiento digital de señales, permiten el nexo entre ambos espacios, del mundo real y el digital. Son muy utilizados en sistemas de instrumentación y adquisición de datos. Cada convertidor posee su propia característica y parámetros que lo definen. Estos parámetros y medidas se toman con respecto a curvas ideales de transferencia, o sea, cuando más se ajuste un determinado modelo en su funcionamiento a estas curvas, más preciso será Para obtener un buen funcionamiento de cada convertidor, es importante destacar los parámetros que aporta el fabricante de cada dispositivo y las condiciones de trabajo en que fueron medidas. En todo ADC el conjunto de bits obtenidos a la salida sea un reflejo lo más exacto posible del valor analógico correspondiente. Si el ADC, está situado a la salida de un sensor (que habitualmente aporta una señal de amplitud débil) es esencial que en la etapa de conversión no se genere un nivel de ruido que impida la conversión real de la señal de entrada. La arquitectura más extendida entre los ADC es la basada en el método de las aproximaciones sucesivas. Su éxito se fundamenta en conseguir tanto una resolución como una velocidad aceptable para una gran variedad de aplicaciones. Normalmente se trata de redes resistivas conectadas a los bits de entrada, con cada valor de resistencia ajustado al valor del bit de entrada, como estructura básica. Los conversores se han enfrentado siempre a la dualidad velocidad y resolución, las diversas estructuras desarrolladas y disponibles comercialmente permiten adaptar un modelo para cada aplicación. Las configuraciones más frecuentes, atendiendo a criterios de velocidad, son: conversores lentos (de 1 a 100ms), que incluyen dispositivos de rampa y de escalera; los conversores medios (de 1μs a 1ms) abarcan los denominados aproximaciones sucesivas; y los rápidos (entre 25 Mhz), flash

BIBLIOGRAFIA

https://sites.google.com/site/principioselectricosevz/unidad-3-convertidores

https://electrouni.files.wordpress.com/2010/08/convertidores.pdf

https://books.google.com.mx/books?id=FLoQI6to_R4C&lpg=PA307&dq=Co

nvertidores++Anal%C3%B3gico+/+Digital&pg=PA307&hl=es#v=onepage&q

=Convertidores%20%20Anal%C3%B3gico%20/%20Digital&f=false

http://e-

archivo.uc3m.es/bitstream/handle/10016/10750/PFC_Daniel_Vazquez_Diaz

.pdf?sequence=1

http://quidel.inele.ufro.cl/~jhuircan/PDF_CTOSII/ad03.pdf

http://www.exa.unicen.edu.ar/catedras/edigital/teorias/c11_conversores_ad-

da.pdf

http://www.fceia.unr.edu.ar/enica3/da-ad.pdf

http://www.sites.upiicsa.ipn.mx/polilibros/portal/Polilibros/P_proce

so/POLILIBRO_ADQUISICION_DE_DATOS/POLILIBRO/UMD/u

md%206/informacion/6.9.html.

http://itpn.mx/recursosisc/4semestre/principioselectricos/Unidad

%20III.pdf.

http://electrodigital.wikispaces.com/Convertidores+DAC-ADC

http://www.sc.ehu.es/acwamurc/Transparencias/(3)AD-

DAcas.pdf

EJERCICIOS A RESOLVER.

Simplificar las siguientes funciones empleando el mapa de Karnaugh y crear el

circuito con compuertas lógicas.

1. - F= A.B.C +A. B. C + A.B. C + A. B. C

SOLUCIÓN:

C A.B

00 01 11 10

00 1

01 1 1 1

2.- F= A.B.C. + A.B.C + A. B.C + A. B. C.

SOLUCIÓN:

C A.B

00 01 11 10

00 1 1 1

01 1

3.- F= A.B.C. D + A.B. C. D + A. B.C.D + A. B.C. D + A. B.C.D +

A. B.C. D + A. B. C. D

SOLUCIÓN:

C.D A.B

00 01 11 10

00 1 1

01 1 1 1

11 1 1

10

4.- F= A.B.C.D + A.B.C.D + A.B.C. D + A.B. C. D + A. B.C. D +

A. B. C. D + A.B. C.D

SOLUCIÓN:

C.D A.B

00 01 11 10

00 1 1

01 1 1

11 1 1

10 1