Report - hendrayadifariansyah27.weebly.com · Web viewHal ini terjadi karena pada hitungan tersebut (pulsa clock ke 6) QB dan QC sama sama bernilai logika 1 sehingga output gerbang NAND adalah

Please pass captcha verification before submit form