Teknik Digital

106
Catatan bebas mengenai : TEKNIK DIGITAL By : Budic Utom Jika anda suka dengan catatan bebas versi NISG ini jangan lupa kunjungi kami di : http://nisguru.blogspot.com

Transcript of Teknik Digital

Page 1: Teknik Digital

Catatan bebas mengenai :

TEKNIK DIGITAL

By : Budic Utom

Jika anda suka dengan catatan bebas versi NISG ini jangan lupa kunjungi kami di :

http://nisguru.blogspot.com

Page 2: Teknik Digital

Pengertian Dasar

1. Besaran Analog dan Digital Pengertian “Analog” dan “Digital” berasal dari teknik hitungan yang akhirnya banyak digunakan pada bidang elektronik khususnya untuk pengukuruan besaran elektronik.

1.1. Besaran Analog Untuk menyatakan besaran analog kita membutuhkan besaran persamaan (analogi), misal pada hitungan analog menunjukan bilangan 1 maka pada besaran tegangan menyatakan 1 volt, untuk bilangan 2 menyatakan tegangan 2 volt, untuk bilangan 4 menyatakan tegangan 4 volt dan untuk bilangan 15,75 menyatakan 15,75 volt dan seterusnya.

Pada contoh diatas antara besar bilangan dan besar tegangan yang dinyatakan adalah mempunyai nilai kesepadanan, perubahan nilai bilangan baik naik maupun turun akan selalu menunjukan nilai yang sepadan dengan tegangan.

Ketepatan penunjukan besaran analog adalah tergantung pada pengukuran besaran analog, pada umumnya ketepatan pengukuran tegangan + 1% dan juga tergantung pada suhu saat itu. Penunjukan skala pengukuran pada analog dapat berupa skala penggaris lurus, skala lingkar (jam), bar chart atau grafik lengkung.

a. Skala analog pada alat ukur elektronik

b. Grafik analog untuk arus listrik

c. Skala analog untuk pengukuran panjang

Gambar 1. Pengukuran besaran analog.

Page 3: Teknik Digital

1.2. Besaran Digital

Untuk menyatakan besaran digital kita gunakan angka-angka, kata digital berasal dari kata digitus yang artinya menghitung pakai jari. Mesin penghitung digital yang sudah lama dikenal adalah simpoa dan saat ini dapat kita lihat kalkulator elektronik, komputer dan alat-alat ukur dengan penampilan data berupa angka-angka.

Besaran digital dapat dinyatakan dengan impul (pulsa), misal bilangan 3 dinyatakan dengan 3 pulsa, bilangan 48 dinyatakan dengan 48 pulsa.

Gambar 2 Perjalanan signal digital. 1

Volt

5

0 T/ms T/ms

Tampilan nilai besaran tertentu dalam bentuk angka-angka dikenal juga dengan nama tamplian digital, biasanya digunakan pada alat-alat ukur listrik dan elektronik.

a. Tampilan Digital pada sebuah mesin

c. Alat ukur Digital

b. Tampilan Digital pada LCD

Gambar 3 Tampilan digital.

Dengan tampilan digital kita akan sangat mudah menentukan suatu besaran karena dapat langsung dibaca dalam bentuk angka (penunjukan lebih pasti), sedangkan pada tampilan analog kita harus membuat interpretasi lebih dahulu (cenderung pada harga perkiraan)

Page 4: Teknik Digital

1.3. Binari dan Kondisi Logika

Besaran digital biasa dikenal juga dengan istilah binary yang memiliki 2 (dua) kondisi yaitu on dan off, 1(satu) atau 0(nol). Kondisi logika signal digital dinyatakan dengan besar tegangan, besar tegangan tersebut tergantung dari peralatan yang digunakan Transistor-Transistor-Logic (TTL) menggunakan tegangan 5 volt, CMOS menggunakan tegangan sampai 12 volt untuk menyatakan logika 1(satu) dan 0 volt untuk menyatakan logika 0(nol).

Gambar 4 Kondisi signal digital.

volt

5

0 T/ms

Kondisi ini identik dengan:

pintu tertutup pintu terbuka

transistor on transistor off

dioda on dioda off

lampu nyala lampu padam

Pada teknik digital elektronik umumnya menggunakan beberapa alternatif tegangan untuk menyatakan logika 1(satu) atau 0(nol), sebagi berikut:

+ 2 Volt 0 Volt (Gnd)

+ 5 Volt 0 Volt (Gnd)

+ 5 Volt - 5 Volt

+ 12 Volt 0 Volt

0 Volt - 12 Volt

Kondisi tegangan biner dberikan toleransi, misal untuk logika 1 tegangan antara 4 sampai 5,5 volt dan untuk logika 0 antara 0 volt sampai 0,8 volt.

Volt

5,5

4,5

0,8

High

Low

T/ms

Gambar 5 Toleransi tegangan pada binari.

Page 5: Teknik Digital

1.4. Sistem Digital dan Analog Sistem digital biasanya merupakan kombinasi peralatan elektris, mekanik, photoelektris dll. yang membentuk dan menampikan fungsi tertentu yang besarannya menampikan besaran digital. Dalam sistem analog besaran phisik secara prinsip sama dengan sistem analog yang ada di alam ini, banyak sistem dalam prakteknya campuran (hybrid), yaitu keduanya ada didalamnya dan terjadi konversi di dalamnya antara kedua besaran tersebut.

Beberapa peralatan digital yang banyak ditemui adalah komputer digital, kalkulator, voltmeter digital dan kontrol mesin numerik pada sistem ini perubahan besaran elektris dan mekanik dalam bentuk diskrit. Untuk sistem analog dapat ditemui pada analog komputer, sistem radio amatir, perekam suara pada sistem ini besaran berabah secara terus menerus.

Berikut merupakan gambaran secara blok suatu sistem digital/analog dimana setiap blok mempunyai fungsi masing-masing yang satu dengan lainnya dapat saling dikomunikasikan.

Process Variable (analog) Measuring

device Analog/Digital

ConversionCentral

Processor

Digital/Analog Conversion

Controller

Adjusts Process Variable (analog)

(digital) (digital) (analog)

Gambar 6 Blok sistem digital/analog. Pada blok diagram input proses merupakan variabel dalam besaran analog kemudian diukur masih merupakan besar analog, masuk ke dalam blok pengubah analog ke digital sebelum ke central processor output dari processor berupa besaran digital, sebelum digunakan untuk controller diubah terlebih dahulu ke dalam analog. Dengan demikian terlihat bahwa dalam sebuah sistem terdapat dua macam besaran analog dan digital yang selalu dikonversi berdasarkan fungsi dan kegunaannya.

Page 6: Teknik Digital

2. Sistem Bilangan Biner 2.1. Struktur Bilangan Biner

Bilangan biner adalah system bilangan yang berbasis 2, artinya hanya mengenal angka 0 dan 1. Hal ini berbeda dengan bilangan desimal yang merupakan bilangan berbasis 10 dan menggunakan angka 0 sampai 9 untuk menyatakan besar nilai bilangannya.

Sebagai pembanding kita lihat struktur bilangan desimal berikut:

Ribuan Ratusan Puluhan Satuan

103 102 101 100

4 5 2 1

4x103 + 5x102 + 2x101 + 1x100

4000 + 500 + 20 + 1

Untuk bilangan biner berlaku hukum yang sama:

16 8 4 2 1

24 23 22 21 23

1 0 1 1 0

1x16 + 0x8 + 1x4 + 1x2 + 0x1

16 + 0 + 4 + 2 + 0

Berikut merupakan daftar persamaan nilai biner dan desimal:

Desimal Biner

0 0 0 0 0

1 0 0 0 1

2 0 0 1 0

3 0 0 1 1

4 0 1 0 0

5 0 1 0 1

6 0 1 1 0

7 0 1 1 1

8 1 0 0 0

9 1 0 0 1

10 1 0 1 0

Page 7: Teknik Digital

2.2. Konversi Bilangan Biner ke Desimal Untuk mengkonversi bilangan biner ke desimal adalah sangat mudah, yaitu seperti yang kita lakukan pada struktur bilangan biner diatas. Setiap tingkatan harga bilangan biner 1 atau 0 dikalikan dengan pengali dan dijumlahkan, maka akan didapatkan harga desimalnya.

Berikut merupakan contoh konversi bilangan biner 11110 ke desimal ternyata didapatkan hasil 30.

16 8 4 2 1 Pengali

24 23 22 21 23 Tingkatan

1 1 1 1 0 Bilangan

1x16 + 1x8 + 1x4 + 1x2 + 0x1 = 30 desimal

Tingkatan dalam biner menunjukan besar pengali dalam konversi dan dituliskan sebagai berikut:

Bilangan biner x 20 = Bilangan Biner x 1

Bilangan biner x 21 = Bilangan Biner x 2

Bilangan biner x 22 = Bilangan Biner x 4

Bilangan biner x 23 = Bilangan Biner x 8

Bilangan biner x 24 = Bilangan Biner x 16

Bilangan biner x 25 = Bilangan Biner x 32

Bilangan biner x 26 = Bilangan Biner x 64

Bilangan biner x 27 = Bilangan Biner x 128

Bilangan biner x 28 = Bilangan Biner x 256

Bilangan biner x 29 = Bilangan Biner x 512 dst.

2.3. Konversi Bilangan Desimal ke Biner Kebalikan dari cara diatas untuk konversi dari desimal ke biner kita lakukan dengan cara mengurangkan bilangan desimal dengan tingkatan bilangan biner bila mencukupi maka pada tingkatan tersebut diperoleh harga 1 dan bila tidak diperoleh harga 0.

Kemudian sisa dikurangi lagi dengan tingkatan bilangan biner dibawahnya bila mencukupi maka pada tingkatan tersebut diperoleh harga 1 dan bila tidak diperoleh harga 0, demikian seterusnya sampai pengurangan dengan tingkatan paling bawah.

Page 8: Teknik Digital

Berikut contoh konversi desimal ke biner:

Desimal Biner

Bil 1024

16

23

8

22

4

21

2

20

1

15 0 1 1 1 1

23 1 0 0 1 1

31 1 1 1 1 1

15 – 8 = 7 1

7 – 4 = 3 1 hasil konversi adalah 11112

3 – 2 = 1 1

1 – 1 = 0 1

23 – 16 = 7 1

7 – 8 = 0

7 – 4 = 3 1 hasil konversi adalah 101112

3 – 2 = 1 1

1 – 1 = 0 1

31 – 16 = 15 1

15 – 8 = 7 1

7 – 4 = 3 1 hasil konversi adalah 111112

3 – 2 = 1 1

1 – 1 = 0 1

2.4. Koma Pada Bilangan Biner Untuk menuliskan koma dalam bilangan biner, angka pertama disebelah kanan tanda koma bernilai 2 -1 selanjutnya 2 –2, 2 –3, 2 –4 dan seterusnya.

Desimal Biner

Bil 1024

16

23

8

22

4

21

2

20

1

2-1

0,5

2-2

0,25

2-3

0,125

2-4

0,0625

4,25 1 0 0 0 1

11,5625 1 0 1 1 1 0 0 1

22,6875 1 0 1 1 0 1 0 1 1

Page 9: Teknik Digital

22,6875 – 16 = 6,6875 1 6,6875 – 8 = ---- 0 6,6875 – 4 = 2,6875 1 2,6875 – 2 = 0,6875 1 0,6875 – 1 = ---- 0 Hasil konversi 10110,1011 0,6875 – 0,5 = 0,1875 1 0,1875 – 0,25 = ---- 0 0,1875 – 0,125 = 0,0625 1 0,0625 – 0,0625 = 0 1

2.5. Penjulahan Bilangan Biner Bilangan biner juga dapat dijumlahkan sebagaimana dapat kita lakukan untuk bilangan desimal, adapun aturan penjumlahan bilangan biner sebagai berikut:

0 + 0 = 0

0 + 1 = 1

1 + 0 = 1

1 + 1 = 10

1 + 1 + 1 = 11

Apabila dalam penjumlahan biner terdapat bawaan (carry), maka akan dijumlah dengan tingkatan diatasnya, lihat contoh berikut:

1 1 bawaan (carry)

1 0 1 1 bilangan pertama (11)

1 0 0 1 1 bilangan kedua (19)

1 1 1 1 0 hasil penjumlahan

2.6. Pengurangan Bilangan Biner

Pengurangan biner pada prinsipnya hampir sama dengan penjumlahan biner, bila pengurang lebih besar dari bilangan yang dikurangi maka perlu adanya pinjaman (borrow). Aturan dalam pengurangan bilangan biner adalah sebagai berikut:

0 - 0 = 0

1 - 0 = 1

1 - 1 = 0

Berikut merupakan contoh pengurangan bilangan biner:

1 pinjaman (borrow)

1 1 0 1 1 bilangan pertama (23)

1 0 1 0 1 bilangan kedua (21)

0 0 0 1 0 hasil pengurangan

Page 10: Teknik Digital

2.7. Pengurangan Bilangan Biner dengan menjumlah .Pengurangan biner dapat juga dilakukan melalui penjumlahan, yaitu dengan cara menjumlahan komplemen dari bilangan pengurangnya. Lihat contoh berikut:

15(10) = 1111(2)

1001(2) + (komplemen dari 7(10) .= 111(2))

8(10). = 1000(2),

Untuk membuat komplemen kita lakukan seperti contoh berikut:

0 1 1 0 1 1 = 27 (bilangan yang dicari komplemennya)

1 0 0 1 0 0 (inverting dari 27)

1 +

1 0 0 1 0 1 (komplemen 27)

2.8. Bilangan Biner Negatif Bilangan negatif adalah bilangan yang mempunyai bobot dibawah 0. bilangan negatif tidak dapat dinyatakan dalam besaran listrik, karena digit 0 berarti tidak ada tegangan. Untuk menyatakan suatu bilangan negatif agar perhitungan logikanya tetap dapat dilakukan, ada dua cara, yaitu :

2.8.1 Tanda -Modulus (Sign Modulus Notation)

Tanda Modulus merupakan satu digit yang diletakkan dibagian paling kiri dari suatu bilangan (MSD).

Untuk bilangan biner dipakai digit 1 sebagai tanda bilangan negatif , dan digit 0 sebagai tanda bilangan positif.

Contoh : - 1 0 1 2 = (1) 1 0 1 2

+ 1 0 1 2 = (0) 1 0 1 2

2.8.2 Cara Kerja dengan Bentuk Komplemennya

Pada bilangan biner dikenal dua bentuk komplemen, yaitu : komplemen -2 dan komplemen -1. Dengan mengganti bilangan negatif menjadi bentuk komplemennya, suatu pengurangan dapat dilakukan dengan cara penjumlahan

1. Komplemen -2

Cara : Mengubah masing-masing digit bilangan biner tersebut, digit “0” diubah menjadi “1” dan sebaliknya digit “1” diubah menjadi “0”. Setelah itu digit yang paling kanan (LSB) ditambah “1”

Contoh : Biner Komplemen -2

(0) 1 0 1 = (1) 0 1 0

1 +

(1) 0 1 1

(1) 0 1 0, 11 = (0) 1 0 1, 00

1+

(0) 1 0 1, 01

Page 11: Teknik Digital

Dalam pengurangan, bila tanda modulus menjadi “0” berarti hasilnya benar. Tetapi bila tanda modulus menjadi “1” berarti masih bentuk komplemen. Bila ada nilai pindahan (carry) baik “1” maupun “0” diabaikan.

Contoh : kurangkan 1 1 0, 0 12 dari 1 0 0, 0 12

4, 25 (0) 1 0 0, 0 1

6, 25 (1) 0 0 1, 1 1+

-2 (1) 1 1 0, 0 0

hasil ini adalah komplemen -2 dari (0) 0 1 0

2. Komplemen -1

Cara : Dengan mengubah digit “0” menjadi “1” dan sebaliknya digit “1” diubah menjadi digit “0”. Pada LSB tidak perlu ditambah digit “1”.

Contoh: Kurangkan 1 0 1,0 12 dari 1 0 0 1, 1 02

9, 50 (0) 1 0 0 1, 1 0

5, 25 (1) 1 0 1 0, 1 0 + Komplemen -1 dari 0 1 0 1, 0 12

4, 25 1 (0) 0 1 0 0 , 0 0

1 +

(0) 0 1 0 0, 0 1

Kurangkan 1 1 1 02 dari 1 0 1 02

10 (0) 1 0 1 0

14 (1) 0 0 0 1 + Komplemen -1 dari 1 1 1 02

-4 0 (1) 1 0 1 1

0 +

(1) 1 0 1 1 Komplemen -1 dari (0) 0 1 0 02

Page 12: Teknik Digital

2.9. Binary Code Decimal (BCD) BCD merupakan cara penulisan bilangan biner dengan bilangan desimal, setiap 4 bit bilangan biner dikodekan dengan 1 bilangan desimal (tetrade). Sedangkan nilai bilangan adalah tetap seperti yang ada pada bilangan biner.

Berikut merupakan contoh penulisan biner dengan menggunakan BCD:

0 0 1 0 0 0 1 1 1 0 0 1 Biner

2 3 9 BCD

3. Sistem Bilangan Oktal 3.1. Struktur Bilangan Hexa

Bilangan octal adalah bilangan yang berbasis 8, jadi symbol bilangan yang digunakan terdiri dari 0 sampai dengan 7. Untuk nilai 8 desimal dituliskan dengan 1 dan 0, untuk 9 desimal dituliskan 11, berikut cara penulisan (struktur bilangan octal:

Des Oktal Des Oktal

0 0 4 4

1 1 5 5

2 2 6 6

3 3 7 7

3.2. Konversi Oktal ke Desimal

4096 512 64 8 1

84 83 82 81 80

3 0 7

3x64 + 0x8 + 7x1

199 192 + 0 + 7

Page 13: Teknik Digital

3.2. Konversi Biner ke Oktal

Bilangan biner dikelompokan menjadi tiga-tiga digitnya kemudian dituliskan nilainya, maka konversi biner ke oktal kita peroleh:

0 1 0 0 1 1 0 0 1 Biner (010 011 001)2

2 3 1 Oktal (231)8

4. Sistem Bilangan Hexa 4.1. Struktur Bilangan Hexa

Bilangan Hexa adalah system bilangan yang berbasis 16, artinya hanya mengenal angka 0 sampai dengan 15. Hal ini berbeda dengan bilangan desimal yang merupakan bilangan berbasis 10 dan menggunakan angka 0 sampai 9 untuk nilai bilangan 0 sampai 9 dan A sampai F untuk menyatakan nilai bilangan 10 sampai 15.

Sebagai pembanding antara bilangan Hexa dengan bilangan Desimal, lihat tabel berikut:

Des Hexa Des Hexa

0 0 8 8

1 1 9 9

2 2 10 A

3 3 11 B

4 4 12 C

5 5 13 D

6 6 14 E

7 7 15 F

Untuk bilangan Hexa berlaku hukum yang sama dengan bilangan desimal berdasarkan tingkatan pengalinya adalah sebagai berikut:

65536 4096 256 162 1

164 163 162 161 160

3 0 8

3x256 + 0x16 + 8x1

776 768 + 0 + 8

Page 14: Teknik Digital

4.2. Konversi Bilangan Desimal ke Hexa

Dalam melaksanakan konversi dari Desimal ke Hexa kita dapat menggunakan daftar konversi berikut sebagai dasar konversi.

Desimal Hexa 164 163 162 161 160

1 1 65 536 4 096 256 16 1

2 2 131 072 8 192 512 32 2

3 3 196 608 12 288 768 48 3

4 4 262 144 16 384 1 024 64 4

5 5 327 680 20 480 1 280 80 5

6 6 393 216 24 576 1 536 96 6

7 7 458 752 28 672 1 792 112 7

8 8 524 288 32 768 2 048 128 8

9 9 589 824 36 864 2 304 144 9

10 A 655 360 40 960 2560 160 10

11 B 720 896 45 056 2 816 176 11

12 C 786 432 49 152 3 072 192 12

13 D 851 968 53 248 3 328 208 13

14 E 917 504 57 344 3 584 224 14

15 F 983 040 61 440 3 840 240 15

Berikut contoh konversi desimal 1982 ke bilangan hexa,

1982 – 1792 sisa 190 (dari daftar 1792 adalah 7 hexa pada tingkat 162)

190 – 176 sisa 14 (dari daftar 176 adalah B hexa pada tingkat 161)

14 – 14 sisa 0 (dari daftar 14 adalah E hexa pada tingkat 160)

Hasil konversi diperoleh 7 B E hexa.

Page 15: Teknik Digital

5. KODE ASCII

ASCII adalah kode yang banyak digunakan untuk mengkodekan karakter pada komunikasi data, kode menggunakan 7 bit dan pada dasarnya terdiri hanya 27 = 128 kemungkinan kombinasi 7 bit binary digit.

Range kombinasi 7 digit biner tersebut dimulai dari 0000000 sampai dengan 1111111 atau dalam bilangan hexadesimal 00 sampai dengan 7F. Setiap satu dari 128 kode mewujudkan kode kendali khusus atau karakter khusus yang mengikuti standar internasional, yaitu:

• ANSI-X3.4 (American National Standards Institute)

• ISO-646 (international standards Organization)

• CCITT Alphabet #5 (Consulting Committee for International Telegraphs and Telephone)

• IEEE (Institute of Electrical and Electronic Engineers)

• IEC (International Electrotechnical Commission)

• EIA (Electronic Industries Association)

• TIA (Telecommunication Industries Association)

Tabel ASCII merupakan table yang digunakan sebagai referensi yang menggunakan bit untuk setiap karakter dan ditunjukan dalam bentuk kode, terdapat banyak macam form table akan tetapi bila disimak mempunyai informasi dasar yang sama tentang standar.

Berikut merupakan contoh kode dengan Hexa dan Biner:

Karakter Hexa Biner

A 41 100 0001

M 4D 100 1101

M 6D 110 1101

@ 40 100 0000

? 3F 011 1111

0 30 011 0000

) 29 010 1001

“ 22 010 0010

Page 16: Teknik Digital

Dalam table ASCII biasanya dilengkapi dengan informasi BIN (kode 7 bit biner untuk ASCCI), DEC (ekuivalen 3 digit desimal 0 s/d 127) dan HEX (ekuivalen 2 digit Hexa 00 s/d 7F).

Most Significant Bit

HEX 0 1 2 3 4 5 6 7

HEX BIN 000 001 010 011 100 101 110 111

0 0000 (NUL) (DLE) Space 0 @ P ` p

1 0001 (SOH) (DC1) ! 1 A Q a q

2 0010 (STX) (DC2) “ 2 B R b r

3 0011 (ETX) (DC3) # 3 C S c s

4 0100 (EOT) (DC4) $ 4 D T d t

5 0101 (ENQ) (NAK) % 5 E U e u

6 0110 (ACK) (SYN) & 6 F V f v

7 0111 (BEL) (ETB) ‘ 7 G W g w

8 1000 (BS) (CAN) ( 8 H X h x

9 1001 (HT) (EM) ) 9 I Y i y

A 1010 (LF) (SUB) * : J Z j z

B 1011 (VT) (ESC) + ; K [ k

C 1100 (FF) (FS) , < L \ l |

D 1101 (CR) (GS) - = M ] m

E 1110 (SO) (RS) . > N ^ n ~

F 1111 (SI) (US) / ? O _ o DEL

Least Significant Bit

6. Fungsi Logika Gerbang Dasar 6.1. Gerbang Dasar AND (Kunjungsi)

Ungkapan berikut menunjukan konjungsi AND, bila adik saya datang dan membawa tiket maka kami akan berangkat ke Jakarta hari ini. Hal ini mengindikasikan bahwa bila adik saya tidak datang kami tidak pergi walaupun tiket ada, begitu pula bila adik saya datang tidak membawa tiket maka kami tidak pergi ke Jakarta.

Dengan demikian Adik, Tiket dan ke Jakarta adalah tiga hal yang mempunyai dua kondisi yaitu ada dan tidak ada, dalam teknik logika ada dan pergi dinyatakan dengan logika 1 dan tidak ada atau tidak pergi dinyatakan dengan logika 0

Hal yang sama terjadi pada rangkaian listrik yang menggunakan 2 saklar dihubungkan seri untuk menyalakan atau mematikan lampu, kondisi lampu akan menyala bila

kedua saklar dalam kondisi on dan mati apabila salah satu off. Dalam teknik digital rangkaian gerbang AND digambarkan sebagai berikut:

Page 17: Teknik Digital

Standar Amerika Standar IEC

Gambar 7. Simbol Gerbang AND

Tabel kebenaran untuk gerbang AND adalah sebagai berikut:

B A Q

0 0 0

0 1 0

1 0 0

1 1 1

Secara elektronik dibangun dari Dioda dan Resistor seperti pada gambar 2b, dimana saat semua atau salah satu input terhubung ke 0 volt (logika 0) maka Y = 0. Hal ini dikarenakan arus mengalir dari Vcc melalui R terus ke dioda dan ke ground, sehingga tegangan pada dioda 0,6 volt maka tegngan pada Y = 0,6 volt atau logika 0. Sebaliknya saat semua input dioda diberi logika 1 atau tegangan 5 volt, maka Y akan berlogika 1 atau bertegangan 5 volt karena tidak ada arus mengalir pada dioda dan satu-satunya arus hanya dari Vcc melalui R menuju ke Y.

a. Rangkaian persamaan listrik b. Rangkaian elektronik

Gambar 8. Rangkaian Listrik Gerbang AND

Secara matematis dengan pertolongan aljabarboole dituliskan sebagai berikut:

atau atau

6.2. Gerbang Dasar OR (Disjungsi)

Ungkapan berikut menunjukan disjungsi OR, bila adik atau kakak saya datang kita akan berangkat ke Jakarta hari ini. Hal ini mengindikasikan bahwa bila salah satu baik

&0

0

0

A

B

A

B Q Q

+5V

Q

A

B

B∧A=Q A=QB.A=Q B&

Page 18: Teknik Digital

adik atau kakak saya atau keduanya datang kita akan pergi, akan tetapi bila keduanya tidak datang maka kita tidak pergi ke Jakarta. Dengan demikian Adik, Kakak dan ke Jakarta adalah tiga hal yang mempunyai dua kondisi yaitu ada dan tidak ada, pergi atau tidak pergi. Dalam teknik logika ada dan pergi dinyatakan dengan logika 1 dan tidak ada atau tidak pergi dinyatakan dengan logika 0.

Hal yang sama terjadi pada rangkaian listrik yang menggunakan 2 saklar dihubungkan paralel untuk menyalakan atau mematikan lampu, kondisi lampu akan menyala bila salah satu atau kedua saklar dalam kondisi on dan mati apabila kedua saklar off.:

Standar Amerika Standar IEC

A

B

Q >=10

0

0

A

B

Q

Gambar 9. Simbol Gerbang OR

Tabel kebenaran untuk gerbang OR adalah sebagai berikut:

B A Q

0 0 0

0 1 1

1 0 1

1 1 1

Secara elektronik dibangun dari Dioda dan Resistor seperti pada gambar 4b, dimana saat semua input terhubung ke 0 volt (logika 0) maka Y = 0 atau 0 volt karena tidak ada tegangan positip yang mengalir pada Y. Sebaliknya saat salah satu input dioda diberi logika 1 atau tegangan 5 volt, maka Y akan berlogika 1 atau bertegangan 5 volt hal ini terjadi karena dioda diberi arus maju dan membentuk pembagi tegangan dengan R.

+5V

Q

A

B

a. Rangkaian persamaan listrik b. Rangakaian elektronik

Gambar 10. Rangkaian Gerbang OR

Secara matematis dengan pertolongan aljabarboole dituliskan sebagai berikut:

atau B∨A=Q B+A=Q

Page 19: Teknik Digital

6.3. Gerbang Dasar NOT (Negasi)

Ungkapan berikut menunjukan negasi NOT, bila adik saya datang kita tidak akan berangkat ke Jakarta hari ini. Hal ini mengindikasikan bahwa bila adik datang kita tidak akan pergi, akan tetapi bila adik tidak datang maka kita akan pergi ke Jakarta.

Dengan demikian Adik dan pergi ke Jakarta adalah dua hal yang mempunyai dua kondisi yaitu ada dan tidak ada, pergi atau tidak pergi dan selalu berkondisi kebalikan. Dalam teknik logika ada dan pergi dinyatakan dengan logika 1 dan tidak ada atau tidak pergi dinyatakan dengan logika 0

Hal yang sama terjadi pada rangkaian listrik yang menggunakan 1 saklar untuk menyalakan atau mematikan lampu, kondisi lampu akan menyala bila saklar dalam kondisi off dan mati apabila saklar dalam kondisi on. Dalam teknik digital rangkaian gerbang NOT digambarkan sebagai berikut:

Standar Amerika Standar IEC

Gambar 11. Simbol Gerbang NOT

Tabel kebenaran untuk gerbang NOT adalah sebagai berikut:

A Q

0 1

1 0

A Q 1 A Q

Rangkaian dasar elektronika gerbang Not dibangun dari sebuah transistor (Q1), dalam gambar 6b. dibuat dari transistor jenis NPN. Dimana bila A =1 atau 5 volt maka transistor Q1 akan on yang berarti Y=0 atau 0 volt, begitu pula saat A =0 atau 0 volt maka Q1 akan off sehingga Y = 1 atau bertegangan = Vcc volt. Dari kondisi pensaklaran elektronik tersebut merupakan fungsi dari gerbang not.

+5V

Q

A

a. Rangkaian persamaan listrik b. Rangkaian transistor

Gambar 12. Rangkaian Gerbang NOT

Page 20: Teknik Digital

Secara matematis dengan pertolongan aljabarboole dituliskan sebagai berikut:

A=Q

6.4. Gerbang Dasar NAND NAND adalah gerbang yang dibangun dari kombinasi antara gerbang AND dan gerbang NOT, sehingga hasil dari AND selalu dibalikkan.

Berikut merupakan gambar simbol gerbang NAND:

Kombinasi gerbang AND dan NOT

Gerbang NAND

Gambar 13. Simbol Gerbang NAND

Tabel kebenaran untuk gerbang NAND adalah sebagai berikut:

B A Q

0 0 1

0 1 1

1 0 1

1 1 0

Secara matematis dengan pertolongan aljabarboole dituliskan sebagai berikut:

atau atau

6.4. Gerbang Dasar NOR NOR adalah gerbang yang dibangun dari kombinasi antara gerbang OR dan gerbang NOT, sehingga hasil dari OR selalu dibalikkan.

Berikut merupakan gambar simbol gerbang NAND:

A

B

Q Q A

B

Q

&0

0

0

A

B Q

B∧A=Q A=Q =QB. B&A

Page 21: Teknik Digital

Kombinasi gerbang OR dan NOT

>=10

0

0

Gerbang NOR

Gambar 14. Simbol Gerbang NOR

Tabel kebenaran untuk gerbang NOR adalah sebagai berikut:

B A Q

0 0 1

0 1 0

1 0 0

1 1 0

Secara matematis dengan pertolongan aljabarboole dituliskan sebagai berikut:

atau

6.4. Gerbang Dasar XOR

NOR adalah gerbang yang dibangun dari kombinasi antara gerbang OR dan gerbang NOT, sehingga hasil dari OR selalu dibalikkan.

Berikut merupakan gambar simbol gerbang XOR:

Standar Amerika Standar IEC

Gambar 15. Simbol Gerbang NOR

A

B

Q Q A

B

Q

A

B Q

B∨A=Q =Q B+A

A

B

Q A

B

Q =10

0

0

Page 22: Teknik Digital

Tabel kebenaran untuk gerbang XOR adalah sebagai berikut:

B A Q

0 0 0

0 1 1

1 0 1

1 1 0

Secara matematis dengan pertolongan aljabarboole dituliskan sebagai berikut:

)BA()BA(=Q ∧∨∧

Page 23: Teknik Digital

7. Rangkaian Elektronik Gerbang Uraian pada sesi ini hanya secara fungsi dari rangkaian, untuk uraian secara teoritis perhitungan dalam mencara arus atau tegangan dapat dilakukan pada pembahasan tentang Transistor

7.1. Transistor-Transistor Logic (TTL)

Pensaklaran pada TTL memanfaatkan proses pembuatan yang murah yaitu dengan menerapkan rangkaian transistor emitor ganda dalam fabrikasi Icnya. Topologi rangkaian TTL dapat dilihat pada gambar 10, yaitu emitor Q1 berfungsi sebagai saluran input dan Q2, Q3 berfungsi sebagai penguat sinyal yang dihasilkan oleh Q1. Emitor – kolektor Q1 berfungsi sebagai diode, sehingga saat salah satu input diberi logika 0 maka pada kolektor akan berlogika 1. Bila semua input pada emitor Q1 diberi logika 1, maka kolektor akan berlogika 1 pula dengan demikian fungsi tersebut adalah fungsi AND.

Fungsi Q2 dan Q3 sebagai fungsi penguat dan sinyal input pada basis Q2 dikuatkan untuk diumpankan pada Q3 melalui emitor Q2, dengan demikian sinyal diterima oleh Q3 dengan fasa yang sama. Kemudian oleh Q3 dikuatkan dan dikeluarkan melalui kolektor, dengan demikian sinyal dibalikan dengan demikian merupakan fungsi NOT. Dari rangkaian secara keseluruhan merupakan fungsi NAND.

Gambar 16. Rangkaian TTL pada gerbang NAND

7.2. Direct Coupled Transistor Logic (DCTL)

Pensaklaran pada TTL berikut menerapkan rangkaian paralel transistor yaitu kolektor disatukan dihubungkan pada R1 dan menyatukan emitor untuk dihubungkan dengan ground sedangkan input gerbang diumpankan pada basis.Topologi rangkaian TTL dapat dilihat pada gambar 11, yaitu basis Q1 dan Q2 berfungsi sebagai saluran input dan Q3, Q4 berfungsi sebagai penguat sinyal yang dihasilkan oleh Q1dan Q2. Bila semua atau salah satu input diberi logika 1, maka kolektor Q1 dan Q2 akan berlogika 0 karena antara kolektor dan emitor mempunyai resistansi rendah sehingga tegangan pada kolektor juga rendah (berlogika 0) dengan demikian fungsi tersebut adalah fungsi NOR.

Page 24: Teknik Digital

Fungsi Q2 dan Q3 sebagai fungsi penguat dan sinyal input pada basis Q2 dikuatkan untuk diumpankan pada Q3 melalui emitor Q2, dengan demikian sinyal diterima oleh Q3 dengan fasa yang sama. Kemudian oleh Q3 dikuatkan dan dikeluarkan melalui kolektor, dengan demikian sinyal dibalikan dengan demikian merupakan fungsi NOT. Dari rangkaian secara keseluruhan merupakan fungsi OR.

Gambar 17. Rangkaian elektronik Gerbang OR

7.3. CMOS Logic

Secara fungsi gerbang sama antara CMOS logic dan TTL, secara rangkaian ditunjukan pada gambar 12 yang terdiri Q1 , Q2 dari jenis PMOS dan Q3, Q4 dari jenis NMOS. Dimana rangkaian merupakan fungsi gerbang NAND, saat A=0 maka Q3 akan off dan Q1 akan on, arus mengalir melalui Q1 dan tegangan drop pada Q1= nol sehingga tegangan pada Y = Vdd. Begitu juga saat B=0 maka Q2 akan off dan Q4 akan on, arus mengalir melalui Q4 tegangan drop pada Q4= nol sehingga tegangan pada Y = Vdd. Bila A=0 dan B=0, maka kondisi Y=Vdd, sebaliknya kalau keduanya yaitu A=1 dan B=1 maka Q2 dan Q3 akan on sedang Q1 dan Q4 akan off sehingga Y akan terhubung langsung pada ground dengan demikian Y akan berlogika nol.

Gambar 18. Rangkaian elektronik Gerbang NAND

Page 25: Teknik Digital

8. Aljabar Boole dan K-maps 8.1. Konstanta dan Variabel

Dalam aljabar boole yang ditemukan oleh seorang ahli matematik Inggris pada tahun 1815 s/d 1864, dikenal istilah konstanta yang terdiri dari dua kondisi yaitu 0 atau1 dan untuk variable bisa lebih dari dua missal A, B, C dst.

Berikut merupakan contoh variable dan konstanta:

0 1 A=0 A=1

Variabel A dan nilainya Konstanta 0 dan 1

Gambar 19. Variabel dan Konstanta

Dari gambar 19 memperlihatkan bahwa variable A mempunyai dua kemungkinan nilai yaitu pada saat saklar terbuka variable A bernilai 0 dan saat saklar tertutup variable A bernilai 1, sedangkan konstanta hanya memiliki satu tetap nilai 0 atau satu nilai tetap 1

Page 26: Teknik Digital

8.2. Hukum Dasar Aljabar Boole

Hukum aljabar boole pada dasarnya adalah dari penjalinan logika AND, OR dan NOT, berikut merupakan gambaran dari hukum tersebut:

0 + 0 = 0

0 + 1 = 1

1 + 0 = 1

1 + 1 = 1

0 . 0 = 0

0 . 1 = 0

1 . 0 = 0

1 . 1 = 1

1 = 0 0 = 1

Jalinan AND Jalinan OR NOT

Gambar 20. Hukum Dasar Aljabar Boole

Page 27: Teknik Digital

8.3. Theoreme Aljabar Boole

Aturan main untuk penjalinan sebuah variable dengan konstanta atau sebuah variable dengan variable itu sendiri atau negasinya disebut dengan Theoreme, untuk itu berlaku aturan sebagai berikut:

1. A A . 0 = 0 0 0

4. A A . A = 0 0 A

2. A A . 1 = A A 1

A

A

1 0

A

0

3. A A . A = A A A

A

A

Gambar 21. Hukum Penjalinan AND

A

A

0 A

6. A + 1 = A A 1 1

5. A + 0 = A A 0 A

A

0

7. A + A = A A A A

A

A

1

8. A + A = 1 A A 1

1

A

A

A

A

1 A

Gambar 22. Hukum Penjalinan OR

Page 28: Teknik Digital

A = A A A

Gambar 23. Hukum Penjalinan NOT

8.4. Hukum Komutasi dan Asosiasi

Hukum komutasi pada prisipnya adalah adanya pertukaran posisi variable dalam satu persamaan aljabar boole, hukum ini berlaku untuk gerbang AND atau gerbang OR. Berikut merupakan hukum komutasi :

A C B A C B

A B C C A B

Komutasi penjalinan AND Komutasi penjalinan OR

Q = A . B . C = C . A . B Q = A + B + C = C + A + B

Gambar 24. Hukum Komutasi

Hukum asosiasi pada prisipnya adalah adanya hubungan keterikatan antara variable dalam satu persamaan aljabar boole, hukum ini berlaku untuk gerbang AND atau gerbang OR. Berikut merupakan hukum asosiasi:

A

B

C

Q

(B . C)

A

B

C

(A . B)

Q

Q = A . (B . C) = ( A . B) . C

Asosiasi penjalinan AND

Page 29: Teknik Digital

A

B

C

Q

(B + C) Q

A

B

C

(A + B)

Q = A + (B + C) = ( A + B) + C

Asosiasi penjalinan OR

Gambar 25. Hukum Asosiasi

8.5. Hukum Distribusi

Hukum komutasi pada prisipnya adalah adanya pembagian (pengelompokan) variable dalam satu persamaan aljabar boole, terdapat 2(dua) macam hukum yaitu hukum distribusi konjungtif dan distribusi disjungtif.

Berikut merupakan hukum distribusi:

A B B A A C C

(A + B) . (A + C) = A + ( B . C)

A A A B C B C

(A . B)+(A . C) = A . ( B + C)

Distribusi konjungsi Distribusi disjungsi

Gambar 26. Hukum Distribusi

8.6. Hukum De Morgan

Hukum De Morgan ( diambil dari nama seorang matematik dari inggris 1806-1871) merupakan pengembangan dari aljabar boole, yaitu menyelesaikan berbagai masalah dalam aljabar boole dengan menggunakan negasi NAND atau NOR.

De Morgan yang pertama (NAND) adalah sebagai berikut:

Q = (A . B) = A + B

Page 30: Teknik Digital

De Morgan yang kedua (NOR) adalah sebagai berikut:

Contoh : Q = A . B + A . B

Penyelesaian dari contoh ini dilakukan dengan menggunakan hukum de morgan 1 karena A NAND B dan NOT A NAND B, sehingga kita dapatkan:

Q = A . B + A . B

= A + B + A + B

= A + B + A + B

= A + A + B + B

Hasil terakhir ternyata NOT A dan A dalam jalinan OR dan NOT dengan NOT B dalam jalinan OR, berdasarkan hukum yang terdahulu dapat disederhanakan sebagai berikut:

Q = A + A + B + B

Q = (A + B) = A . B

B + B = B

A + A = 1

8.7. Karnaugh Map (K-Map)

8.7.1 K-Map 2 variabel

Kita ambil 2 (dua) variable A dan B, dari kedua variable ini kemungkinan yang terjadi adalah 4 buah kemungkinan, dalam K-Map penyelesaiannya adalah dengan menggunakan 4 kotak dan setiap kotak merupakan jalinan antara variable atau antara negasi dari variable. (lihat table berikut).

A A

B B.A B.A

B B.A B.A

Koordinat antara A dan B merupakan konjungsi, biasanya bernilai 0 atau 1, untuk menuliskan aljabar boole diambil kotak bernilai 1 saja:

Page 31: Teknik Digital

Q A A

B 0 0

B 1 1

)B•A(+)B•A(=QDari tabeldidapatkan:

Berikut terdapat 3 kotak bernilai 1:

Q A A

B 1 1

B 0 1

Dari tabeldidapatkan:

Dalam K-Map dapat pula diterapkan system kelompok mendatar atau kelompok vertical, berikut menunjukan pengelompokan mendatar dan vertical.

Pengelompokan mendatar:

Q A A

B 1 1

B 0 0

)B•A(+)B•A(+)B•A(=Q

)B•A(+)B•A(=Q

)A+A(•B=Q

B=1•B=Q

Page 32: Teknik Digital

Pengelompokan vertikal:

Q A A

B 1 1

B 1 0

)B•A(+)B•A(=Q

)B+B(•A=Q A=1•A=Q

Pengelompokan kombinasi:

Q A A

B 1 1

B 1 1

)B•A(+)B•A(+)B•A(+)B•A(=Q

)]B+B(•A[+)]B+B(•A[=Q

)1•A(+)1•A(=Q

1=A+A=Q

8.7.2 K-Map 3 variabel

Kita ambil 3 (dua) variable A, B dan C, dari kedua variable ini kemungkinan yang terjadi adalah 8 buah kemungkinan, dalam K-Map penyelesaiannya adalah dengan menggunakan 8 kotak dan setiap kotak merupakan jalinan antara variable atau antara negasi dari variable. (lihat table berikut).

A A

B C.B.A C.B.A C.B.A C.B.A

B C.B.A C.B.A C.B.A C.B.A

C C C

Page 33: Teknik Digital

Untuk pengelompokan disamping dilakukan seperti diatas dapat pula dilakukan dengan system berikuT:

A A

B 1 1

B

C C C

Atau dengan cara berikut:

A A

B 1 1

B 1 1

C C C

Adapun cara berikut tidak diijinkan:

A A

B 1 1 1

B 1

C C C

Berikut adalah contoh K-Map dengan 3 variabel A, B dan C:

Q A A

B 0 0 1 1

B 1 0 0 1

C C C

C.B

C

Page 34: Teknik Digital

Persamaan aljabar boole berdasarkan data pada K-Map adalah:

)C•B•A(+)C•B•A(+)C•B•A(+)C•B•A(=Q

Bila disederhanakan hasilnya adalah:

)C•B(+)B•A(=Q

Page 35: Teknik Digital
Operasi Sistem Sekuensial

1. Pengantar Elektronik digital tidak dapat dipisahkan dengan kehidupan kita saat ini, hampir semua sector kehidupan kita sering ditemui elektronik digital mulai dari jam digital, CD digital, VCD, kontrol digital pada elavator, mesin penjual otomatis dsb.

Permasalahan yang ada untuk rangkaian pengendali sederhana menggunakan logika dasar seperti gerbang AND, OR, NAND, NOR, EXOR atau kombinasi darinya adalah tidak adanya memori. Sehingga rangkaian memberikan aksi pada output setiap kali ada signal input, jadi tidak dapat memegang satu kondisi tertentu untuk melakukan aktivitas yang lebih komplek sehubungan dengan banyak perubahan input. Dalam sebuah sistem sangat diperlukan untuk memegang kondisi logika, oleh karena itu diperlukan pencatat logika. Berikut sebuah contoh rangkaian sistem penghitung:

Gambar 1. Operasi system Penghitung Digital

Adapun cara kerja sistem penghitung adalah sebgai berikut:

• Ketika PB1 ditekan pulsa akan mengaktifkan penghitung dekade 74HCT190, penghitung menghasilkan bilangan dalam BCD melalui kombinasi output QA, QB, QC dan QD.

• Output 74HCT190 disambungkan ke Lacth 74HCT75 yang fungsi untuk menyimpan data (D-FF).

• Output Latch disambungkan ke 74HCT4511 yang berfungsi sebagai pengalih kode dari BCD ke 7 segmen, sehingga tampilan pada 7 segmen adalah berupa bilangan desimal.

• Untuk menkondisikan tampilan nol dapat dilakukan dengan menkan tombol reset.

Dari uraian tersebut kita dapat melihat contoh sederhana sebuah sistem digital yang dilengkapi dengan penyimpanan data yaitu melalui Flip-flop 74HCT75.

Page 36: Teknik Digital

2. RS-Flip-Flop Mikrokontroler, mikroprosesor dan komputer memerlukan tempat penyimpanan data dalam biner 1 atau 0, untuk itu diperlukan rangkaian digital yang dapat melakukan tugas tersebut. Sebagai contoh sebuah komputer generasi 486 memerlukan 32 bit dan sebuah komputer generasi Pentium memerlukan 64 bit, yang berarti diperlukan tempat penyimpanan 64 tempat untuk nilai biner 0 atau 1.

Tempat penyimpanan digital dalam melaksanakan proses digunakan rangkaian digital yang dikenal dengan nama Flip-flop, saat menerima input akan terjadi Flip yaitu output diset pada satu kondisi dan saat menerima input berikutnya terjadi Flop yaitu output diset kembali pada kondisi sebelumnya. Bergulingnya kondisi output diakibatkan oleh adanya perubahan kondisi kedua input, oleh karena itu kedua input disebut dengan Set dan Reset.

Berikut merupakan rangkaian Flip-flop dengan menggunakan gerbang NAND dan menggunakan gerbang NOR, perbedaan dari kedua Flip-flop adalah pada NAND tidak diijinkan adanya Set = 0 dan Reset = 0, pada NOR tidak diijinkan adanya Set = 1 dan Reset = 1. Pada Flip-flop kondisi yang diinginkan adalah antara kedua output selalu memiliki nilai biner yang berlawanan, yaitu Q = 1 maka Q = 0 atau sebaliknya Q = 0 maka Q = 1 dengan demikian nilai biner dapat dipegang.

Bergulingnya nilai 0 ke 1 atau 1 ke 0 pada output Flip-flop adalah berdasar Set dan Reset yang diberikan pada input (lihat pada table kebenaran).

Gerbang NOR

S R Q Q

0 0 memegang memegang

0 1 0 1

1 0 1 0

1 1 Tidak boleh Tidak boleh

Gambar 2. Flip-flop dengan gerbang NOR

Gerbang NAND

S R Q Q

0 0 Tidak boleh Tidak boleh

0 1 0 1

1 1 0

1 1 memegang memegang

Gambar 3. Flip-flop dengan gerbang NAND

Page 37: Teknik Digital

Berikut merupakan diagram pulsa untuk RS-Flip-flop:

Gambar 4. Diagram Pulsa RS-Flip-flop

Dari gambar 33 kita lihat saat t0-t1 R dan S pada kondisi High untuk output kita belum tahu kondisinya, saat t1 R diberi logika 0 untuk beberapa waktu dan Q akan tereset sedangkan Q menjadi High. Pada saat t2 input Set = 0 sehingga membuat Q = High yang berarti Flip-flop di Set.

3. Clocked RS-Flip-flop Rangkaian logika berikut menggambarkan RS-FF, hanya pada saluran R dan S kita gunakan sebuah saklar dimana salah satu R atau S selalu terhubung dengan ground dan padanya dipasang resistor 100K sebagai pull up. Dengan demikian kondisi output akan selalu pada kondisi diset atau direset, rangkaian ini dikenal dengan standar bistabil multivibrator karena begitu ada perubahan pada input akan langsung merubah kondisi output.

Gambar 5. Standar Bistabil Multivibrator

Dalam rangkaian digital elektronik dibutuhkan adanya sinkronisasi antara satu bagian dengan bagian lainnya, untuk itu digunakan clocked Flip-flop yang mana perubahan pada

Page 38: Teknik Digital

input tidak dapat langsung merubah outputnya menunggu sampai adanya clock sinkronisasi. Clock ini merupakan signal referensi kerja sistem dan disebut clock pulsa.

SET RESET Sebelum Clock Qn + 1

0 0 Qn ??

1 0 Qn 0

0 1 Qn 1

1 1 Qn Qn

Tabel kebenaran Clocked RS-Flip-flop

Gambar 6. Clocked RS-Flip-flop

Pada gambar 35 terlihat dua input terminal R dan S, tetapi ada tambahan yaitu terminal E sebagai input Clock, proses Set terjadi bila S = High dan R = Low serta diberi pulsa Clock, bila R = High dan S = Low diberi pulsa Clock maka Flip-flop di reset.

4. D- Clocked Dan D-Latch Flip-flop Permasalahan RS-FF adanya kondisi input yang tidak diinginkan, untuk itu diperlukan sedikit modifikasi sehingga dapat digunakan sebagai dasar 1 bit memori yang dikenal dengan nama D Flip-flop.

a. Simbol Clocked D flip-flop b. Tabel kebenaran D flip- flop

c. Pulsa diagram D flip-flop

Gambar 7. Clocked D flip-flop triger pada transisi ke positip

Input D merupakan input kendali tunggal yang menentukan kondisi output FF sesuai dengan tabel diatas, dan kondisi ini dicapai bilamana clock input pada transisi positif seperti yang diilustrasikan pada gambar 7c. Jadi setiap kali terjadi transisi positip pada input clock akan membuat perubahan pada output sesuai dengan data yang ada pada input dan pada terjadi

Page 39: Teknik Digital

transisi negatif pada clock tidak akan memberikan dampak apa-apa pada output. Namun demikian terdapat pula D flip-flop dengan perubahan input saat terjadi transisi negatif pada clock.

Pada gambar 7c dapat dilihat perubahan output akibat adanya clock pada transisi positif dan terlihat bahwa sinyal output sama dengan sinyal data yang dimasukan (D).

Rangkaian D-FF dapat dibangun dari RS-FF atau JK-FF seperti gambar berikut:

Gambar 8. Rangkaian D-FF dari RS dan JK-FF

Untuk aplikasi D-FF dapat dilihat pada gambar berikut:

Gambar 9. Contoh aplikasi D-FF

Berikut ini merupakan D-Latch, yang rangkaiannya dibangun seperti pada gambar 10 dan cara kerjanya sebagai berikut:

1. Ketika input clock Low pada input D tidak ada efek selama input Clear pada NAND FF tetap High.

Page 40: Teknik Digital

2. Ketika input clock transisi ke High maka input D akan menghasilkan output sesuai dengan kondisi data pada D.

a. Rangkaian D-FF b. Tabel D-FF

Gambar 10. Rangkaian D-FF dari gerbang dasar

Berikut juga merupakan rangkaian D-FF menggunakan IC 7475:

D Q sebelum clock Q sesudah clock

0 0 0

0 1 0

1 0 1

1 1 1

Tabel kebenaran D-FF

Gambar 11. D-Flip-flop

Pada saat E(enable) = High, input D akan memberikan dampak pada output atau dengan kata lain data D ditransfer ke output Q. Berdasar table kebenaran diatas berlaku aturan D-FF sebagai berikut:

• Bila input D = High, maka output Q akan atau tetap High ketika Clock High.

• Bila input D = Low, maka output Q akan atau tetap Low ketika Clock High.

• Bila E(enable) = Low, maka Q akan tetap seperti sebelumnya walaupun D berubah.

• Bila S = Low dan R = High, maka output Q akan High sedangkan E dan D tidak memberikan dampak pada output.

• Bila S = High dan R = Low, maka output Q akan Low sedangkan E dan D tidak memberikan dampak pada output.

• E dan D berdampak pada output manakala S = High dan R = High

SET RESET E D Qn Qn + 1

1 1 1 0 0 0

I 1 1 0 1 0

1 1 1 1 0 1

1 1 1 1 1 1

0 1 X X X 1

Page 41: Teknik Digital

1 0 X X X 0

0 0 X X X Tak terdefinisikan

Tabel kebenaran D-Flip-flop

Perbedaan antara clocked D-FF dan Latch D-FF adalah, untuk clocked D-FF kondisi output berubah saat clock pada posisi pojok transisi dan output tidak berubah pada posisi clock yang lain. Sedangkan Latch D-FF output berubah sesuai dengan input D manakala input clock pada kondisi High.

Apabila diinginkan input data langsung ditransfer ke output maka pada saluran E(enable) dihubungkan langsung ke +5 Volt atau selalu High, rangkaian ini disebut Transparan Latch.

Gambar 12. Transparan Latch

5. Edge Triggering Flip-flop Sistem Clock dalam digital adalah gelombang kotak (square wave), Flip-flop melakukan pengujian terhadap clock gelombang kotak bila kondisinya High maka output baru akan berubah sesuai dengan kondisi input. Flip-flop tipe ini disebut dengan level-triggered flip-flop.

Pada umumnya output flip-flop berubah ketika terdapat perubahan Clock, flip-flop yang memiliki sistem ini disebut dengan Edge Triggering Flip-flop. Sistem ini tidak menghiraukan panjang signal Clock dan output berubah hanya saat clock berada ditepi (edge) pulsa.

a. Positif Edge Triggering

Pada gambar 13 dapat dilihat bahwa setiap kali clock berada pada tepi positif yaitu perubahan dari negatif ke posistif, maka input D masuk ke Flip-flop dan memberikan perubahan pada output Q.

Page 42: Teknik Digital

Gambar 13. Positif Edge Triggering

b. Negatif Edge Triggering

Pada gambar 14 dapat dilihat bahwa setiap kali clock berada pada tepi negatif yaitu perubahan dari kondisi positif ke negatif, maka input D masuk ke Flip-flop dan memberikan perubahan pada output Q.

Gambar 14. Negatif Edge Triggering

Aplikasi D-FF pada sistem digital banyak ditemui untuk itu diperlukan Clock yang disebut juga dengan clock sinkronisasi karena setiap perubahan output harus menunggu adanya tepi clock.

Namun demikian ada kalanya rangkaian digital langsung memberikan dampak ke output begitu terdapat perubahan pada input, sistem demikian ini disebut dengan clock asinkron.

6. J-K Flip-flop JK Flip-flop juga merupakan rangkaian edge triggering seperti halnya D-FF, akan tetapi output JK-FF akan berubah jika ada clock pada rangkaian.

Page 43: Teknik Digital

Berikut merupakan rangkaian JK-FF yang dibangun dari sebuah RS-FF dengan menambahkan 2 gerbang AND didepannya. Adapun fungsi rangkaian adalah untuk memperbaiki kondisi RS-FF, yaitu saat S=1 dan R=1 pada SR-FF yang dibuat dari NOR tidak diperkenankan maka pada JK-FF dibuat NOT Q.

Sehingga fungsi rangkaian saat J=0 dan K=0 maka Q akan memegang kondisi sebelumnya, saat J=1 dan K=0 maka Q=1, saat J=0 dan K=1 maka Q=0 dan saat J=1 dan K=1 maka Q sama dengan NOT Q. Berikut merupakan table kebenaran JK-FF dari NOR SR-FF:

K J Qn+1 J K CLK Q Q

0 0 Qn 0 0 Tetap Tetap

0 1 1 0 1 0 1

1 0 0 1 0 1 0

1 1 Qn 1 1 toggle toggle

a. Tabel kebenaran

b. Rangkaian dasar JK-FF dari SR-FF

Gambar 15. Diagram JK-Flip-flop

Dari gambar 15 b terlihat adanya feedback ke input, hal jelek terjadi adalah saat clock = 1 dimana output kondisinya berubah sudah merubah kondisi input AND. Sebagai contoh J=1 dan K=1 dimana Q=0, ketika Clock diberikan Q berubah dari 0 ke 1 untuk ini memerlukan waktu sama dengan propagasi delay. Melalui 2 gerbang AND kondisi Filp-Flop adalah J=1, K=1 dan Q=1, karena Clock masih 1 maka akan terjadi Q kembali 0 dengan demikian akan terjadi osilasi Q berubah-ubah 0 – 1. Kondisi ini disebut dengan race around condition.

Untuk menghidari adanya kondisi tersebut harus diperhitungkan propagasi delay gerbang yang digunakan dan panjang clock saat =1.

Berdasarkan table kebenaran JK-FF memiliki 4 (empat) kondisi, yaitu:

Kondisi Memegang Ketika J = 0 dan K = 0, walaupun ada clock output akan tetap

Kondisi Reset Ketika J =0 dan K = 1, dengan adanya clock maka output = 0

Kondisi Set Ketika J =1 dan K = 0, dengan adanya clock maka output = 1

Kondisi Toggle Ketika J =1 dan K = 1, dengan adanya clock maka output toggle

Page 44: Teknik Digital

Dengan memberikan logika J = 1 dan K = 1, maka setiap kali diberikan clock pada output akan berguling (toggle) sehingga output JK-FF merupakan pembagi 2 (dua) dari clock yang masuk. Rangkain JK-FF dengan kondisi J=1 dan K=1 sering disebut dengan rangkaian T-FF. Dalam aplikasinya bila T-FF diinginkan sebagai pembagi 4 (empat) maka diperlukan 2 JK-FF yang diseri, atau dengan menserikan 3 JK-FF akan diperoleh pembagi 8(delapan).

Berikut merupakan gambar pulsa dari pembagi frekuensi:

Gambar 16. T-FF dari JK-Flip-flop sebagai pembagi frekuensi

Untuk lebih jelasnya proses perubahan pada output JK-FF, berikut disajikan diagram waktu dari JK-FF.

Gambar 17. Diagram waktu JK-Flip-flop .

IC TTL yang berisi JK-FF adalah 7473 atau 74HCT73, dimana satu IC berisi 2 JK-FF yang dilengkapi dengan saluran Reset atau sering juga disebut dengan Clear. Bila IC ini

Page 45: Teknik Digital

digunakan sebagai pembagi frekuensi, maka pin J-K diberi High dan CP1 disambung ke Clock sedangkan pin 12 disambung ke pin 5. Dengan demikian pada pin 12 Clock terbagi 2 dan pada kaki 9 Clock terbagi 4.

Hubungan signal input JK dengan Clock

Gambar 18. IC-JK-Flip-flop

Gambar 19. Master-Slave JK-FF

Mater-Slave terdiri dari dua JK-FF yang dihubungkan seperti gambar 44, diamana input JK pada Flip-flop pertama sebagai input Master dan output Q Flip-flop kedua sebagai Output Slave. Sedangkan Clock pada Master disambung langsung ke input Clock dan Clock pada Slave dipasangkan gerbang NOT.

Data input sebelum masuk ke Slave terlebih dahulu masuk ke Master baru kemudian ditransfer ke output Slave. Saat Clock naik 0 ke 1 output master ditentukan oleh kondisi input JK pada kondisi ini Slave belum berubah kondisinya, saat Clock turun 1 ke 0 kondisi logika output master ditransfer ke output slave.

Page 46: Teknik Digital

Register- Counter

1. Register Register merupakan rangkaian flip-flop yang berfungsi sebagai memori untuk menyimpan data sementara dalam system digital, dan untuk membantu proses transmisi data dari satu lokasi ke lokasi lain. Beberapa tipe register sudah banyak dikemas dalam sebuah IC, sehingga dengan cepat dapat diaplikasikan.

Gambar 45 merupakan Data Latching Register yang menggunakan D-FF (D Latching Flip-flop), berikut memberikan ilustrasi register 4-bit latching dimana clock disambungkan sacara parallel untuk setiap D-FF, dengan demikian saat clock pada kondisi High maka output mengikuti logika input dan saat clock berubah dari High ke Low output D-FF memegang kondisi logika input tersebut. Pada kondisi clock Low walaupun input datanya berubah-ubah tetap tidak berpengaruh terhadap output.

Gambar 20. Data Latch Register

Dari gambar diatas dapat kita lihat bahwa input D0 ….D3 berisi data 0101, setelah clock maka pada Q0….Q3 berisi data yang sama dengan input yaitu 0101.

Sebagai contoh IC dengan tipe 74HCT373 merupakan register latch yang dilengkapi dengan buffer input, rangkaian D latch dan tristate buffer output.

Page 47: Teknik Digital

Pada IC ini juga dilengkapi dengan LE (Latch Enable) yang fungsinya untuk melakukan proses transfer dari input D0 ….D3 ke Q0….Q3 dan QE untuk mengeluarkan data dari Q0….Q3 ke output IC melalui tristate buffer.

Gambar 21. IC 74HCT373

INPUT Mode Operasi

QE LE Dn

INTERNAL

LATCH

OUTPUTS

Q0 to Q7

Enable dan baca register

Latch dan baca register

Latch register dan disable outputs

L

L

L

L

H

H

H

H

L

L

X

X

L

H

L

H

X

X

L

H

L

H

X

X

L

H

L

H

Z

Z

Tabel kebenaran IC 74HCT373

2. Shift Register

Jika kita perhatikan register pada IC 74HCT373 dimana sistem input parallel dan output juga parallel (PIPO), sedangkan konstruksi dalam Shift register merupakan register dimana D-FF sebagai penyimpan data dihubungkan secara seri yaitu output D-FF1 dihubung ke input D-FF2 dan output D-FF2 dihubungkan ke D-FF3 dst. Bila dibandingkan dengan gambar 47 juga memberikan ilustrasi shiftregister dan merupakan gambar rangkaian internal IC 74HCT164 yang dilengkapi dengan buffer output Q parallel, saluran clock, reset, dan data input Da serta Db secara serial (SIPO).

Gambar 22. IC 74HCT164

Page 48: Teknik Digital

Dari gambar diatas pada saat ada clock input, maka data akan digeser secara seri pada register yaitu dari Q0 ke Q1, dari Q1 ke Q2 dst. Jadi register ini merupakan 8 bit register, bila dimasukan data melalui Da atau Db secara berturutan 8 kali clock secara serial digeser sampai bit data pertama menempati posisi Q7 (MSB) dan bit data terakhir menempati Q0

(LSB). Berdasar tabel dibawah fungsi MR adalah untuk inisialisasi agar semua output berlogika 0 (Reset). Tabel berikut menampilkan fungsi dari shift register (SIPO 8 bit), dimana data secara serial diberikan dan merupakan hasil logika kombinasi AND 11, 11, 11, 11, 01, 10, 11 dan 11 ternyata data baru bisa dibaca secara parallel pada output register saat clock yang ke 8 yaitu data terbaca Q7……….Q0 = (1111 0011)

Waktu MR Dsa Dsb, Qo Q1 Q2 Q3 Q4 Q5 Q6 Q7

Inisialisasi L X X 0 0 0 0 0 0 0 0

Setelah Clock 1. H H H 1 0 0 0 0 0 0 0

Setelah Clock 2. H H H 1 1 0 0 0 0 0 0

Setelah Clock 3. H H H 1 1 1 0 0 0 0 0

Setelah Clock 4. H H H 1 1 1 1 0 0 0 0

Setelah Clock 5. H L H 0 1 1 1 1 0 0 0

Setelah Clock 6. H H L 0 0 1 1 1 1 0 0

Setelah Clock 7. H H H 1 0 0 1 1 1 1 0

Setelah Clock 8. H H H 1 1 0 0 1 1 1 1

Bila output diambil pada Q7 maka data dapat dibaca secara serial, disini data mulai dikeluarkan saat data secara serial sudah direkam oleh register jadi jatuh pada clock ke 9. Operasi ini sering disebut dengan (SISO) yaitu serial In dan Serial Out.

Tipe IC 74HCT194 merupakan register dengan kemampuan geser kiri, geser kanan, transfer data serial dan parallel sinkron, master reset asinkron, mode hold. Dengan demikian IC ini dapat berfungsi sebagai (SISO), (PIPO), (SIPO) atau (PISO).

Berikut merupakan gambar pin IC 74HCT194 dan table kebenarannya:

Gambar 23. IC 74HCT194A

Page 49: Teknik Digital

Input Output Mode Operasi

CP MR S1 S0 DSR DSL Dn Q0 Q1 Q2 Q3

Reset X L X X X X X L L L L

Holding data X H L L X X X Q0 Q1 Q2 Q3

Geser kiri H

H

H

H

L

L

X

X

L

H

X

X

L

H

Geser kanan H

H

L

L

H

H

L

H

X

X

X

X

L

H

Input paralel H H H X X

Tabel kebenaran IC 74HCT194A

Berikut merupakan gambaran tentang mode operasi shift register pad IC 74HCT194:

Gambar 24. Mode Operasi Shift Register

(IC 74HCT194)

Page 50: Teknik Digital

3. Penghitung (Counter) Asinkron Penghitung dalam rangkaian elektronika sering digunakan untuk menghitung pulsa digital, penghitung uang logam, penghitung jumlah butiran tablet obat dlsb. Salah satu aplikasi penghitung dapat dilihat pada gambar 50, dimana pulsa dibangkitkan oleh arus listrik 240 V diturunkan + menjadi 6 volt dan disearahkan melalui dioda untuk digunakan sebagai input pulsa penghitung ripple (pembagi 50), sehingga pulsa yang dihasilkan memiliki waktu perioda 1 detik untuk diumpankan pada Modulo 10 counter dst. Dengan demikian penghitung dapat memberikan penampilan system kerja sebuah Jam elektronik.

Gambar 25 Aplikasi Penghitung pada sebuah jam digital

Dari gambar dapat dilihat bahwa titik S sebagai penghasil tegangan rendah untuk rangkaian, titik T menhasilkan tegangan searah maksimum 5,1 volt, titik U pembagi frekuensi 50 HZ menjadi 1 Hz, titik V merupakan pembagi 10 untuk memberikan clock pada counter sehingga menghitung sampai 0 s/d 9 dan diumpankan ke pembagi 6, titik W merupakan hasil satu pulsa dari pembagi 6 yang berarti selama 60 detik dihasilkan 1 pulsa, titik X merupakan pulsa yang dihasilkan dari hitungan pembagi 10, titik Y hasil satu pulsa setelah 1 jam (60 menit) dan selanjutnya adalah untuk Jam.

Dari gambar diatas merupakan jam digital yang terdiri dari penampil BCD dan dilengkapi dengan Ripple counter yang terdiri dari flipflop pembagi frekuensi, penghitung naik, penghitung turun dan Modulus.

Page 51: Teknik Digital

a. Pembagi Frekuensi

Penghitung digital merupakan rangkaian yang menghasilkan output dalam biner secara sekuensial sebagai aksi karena adanya clock, gambar berikut memberikan ilustrasi D-FF sebagai pembagi 2 (dua).

a. Rangkaian pembagi 2 b. Timing diagram pembagi 2

Gambar 26. D-FF sebagai Pembagi 2(dua)

Pada saat sumber listrik dihidupkan Q dalam kondisi logika 0 dan Q = 1, D =1 dengan adanya clock pertama Q akan sama dengan D sehingga Q = D = 0. Demikian seterusnya sehingga setiap dua kali clock diberikan maka output Q terjadi satu ----kali clock, jadi rangkaian berfungsi sebagai pembagi 2 (dua).

b. Penghitung Biner Ripple

Jika kita sambungkan pembagi dua diatas dimana clock D-FF kedua disambungkan dengan Q D-FF pertama, clock D-FF ketiga disambungkan dengan Q D-FF kedua dst. Seperti pada gambar 52 maka akan terangkai sebuah Penghitung Biner yang juga merupakan pembagi 2, pembagi 4, pembagi 8 dan pembagi 16.

Gambar 27. Penghitung Biner menggunakan D-FF

c. Penghitung Naik Ripple

Penghitung ini dapat dibangun dari JK-FF, dimana semua input Jk-FF disambungkan ke logika High, Clock memanfaatkan transisi High ke Low.dan output Q dari JK-FF pertama disambungkan ke Clock JK-FF kedua, dan Q dari JK-FF kedua disambungkan ke Clock JK-

Page 52: Teknik Digital

FF ketiga dst. Gambar 53 merupakan penghitung naik 3 tingkat, batas hitungan diawali 000 dan diakhiri 111 kemudian kembali lai ke 000.

a. Rangkaian penghitung naik

b. Timing diagram penghitung naik

Gambar 28. Penghitung naik

CLK Qc Qb Qa Count Reset(O) 0 0 0 0

1 0 0 1 1 2 0 1 0 2 3 0 1 1 3 4 1 0 0 4 5 1 0 1 5 6 1 1 0 6 7 1 1 1 7 8 0 0 0 0 9 0 0 1 1 Tabel kebenaran penghitung naik 3-bit

Dari tabel kebenaran dapat kita lihat bahwa penghitung mulai hitungan dari 000, 001 ….,111 dan kembali lagi 000, 001 dst. Bila ditambahkan flip-flop lagi maka penghitung menjadi 4 tingkat yang berarti peningkatan 2 kali yaitu memiliki modulus 16.

Untuk penghitung 2 tingkat memiliki modulus 4, 3 tingkat memiliki modulus 8, 4 tingkat memiliki modulus 16, 5 tingkat memiliki modulus 32 dst.

Page 53: Teknik Digital

dinyatakan dalam rumus : Modulus = 2n

d. Penghitung turun ripple

Penghitung ini dibangun dari JK-FF, dimana semua input Jk-FF disambungkan ke logika High, Clock memanfaatkan transisi Low ke High dan output Q dari JK-FF pertama disambungkan ke Clock JK-FF kedua, dan Q dari JK-FF kedua disambungkan ke Clock JK-FF ketiga dst. Gambar 54 merupakan penghitung turun 4 tingkat, batas hitungan diawali 1111 dan diakhiri 0000 kemudian kembali lai ke 1111.

a. Rangkaian Penghitung turun

b. Timing Diagram Penghitung turun

Gambar 29 Penghitung Turun Ripple

Page 54: Teknik Digital

Tabel kebenaran penghitung turun

• Dari timing diagram kita dapat lihat bahwa saat diberi Reset maka semua output berlogika 0, dan bila Reset diberi logika High hitungan siap dimulai.

• Awal clock sisi positif akan membuat QA = High yang berarti berubah dari Low ke High, hal ini memberikan clock pada JK-FF kedua sehingga QB = High. Berlaku hal yang sama untuk QC dan QD, data output terbaca 1111 (15 desimal).

• Clock berikutnya akan membuat toggle pada QA = 0 oleh karena perubahan QA dari High ke Low maka pada QB tidak ada perubahan, begitu pula untuk QC dan QD. Dengan demikian pada output akan terbaca 1110 (14 desimal), hal ini menunjukan proses hitungan turun.

• Clock ketiga dan seterusnya akan mengaktifkan rangkaian sebagai penghitung turun.

e. Penghitung Naik/Turun

Penghitung turun memanfaatkan Clock transisi Low ke High dan output Q dari JK-FF pertama disambungkan ke Clock JK-FF berikutnya, untuk penghitung naik Clock di inverting sehingga didapat hitungan 0000 s/d 1111. Melalui prinsip ini kita dapat membangun

Page 55: Teknik Digital

kombinasi dari keduanya yaitu penghitung naik dan turun, adapun rangkaian dapat dilihat pada gambar 55.

Gambar 30. Rangkaian Penghitung Naik/Turun

Untuk memilih agar rangkaian berfungsi sebagai penghitung naik kita berikan logika Low pada input UP / DOWN, sedangkan untuk penghitung turun kita berikan logika High. Dalam praktek kita bias pilih IC 74HCT190 yang merupakan penghitung naik/turun sinkron dilengkapi dengan preset yang juga merupakan IC-MSI (Medium scale integration).

Gambar 56 merupakan rangkaian penghitung dengan preset, dimana kita bias menentukan berapa awal hitungan dimulai, misal mulai dari biner 0110 maka kita lakukan hal sebagai berikut:

Kita beri logika Low pada saluranLOAD , berikan logika pada D1 = High, D2 = High dan D0 = Low, D3 = Low dengan demikian maka data 0110 ditempatkan pada output Q.

Gambar 31. Penghitung dengan Preset

Page 56: Teknik Digital

f. Pembagi N Counter

Penghitung ini melaksanakan hitungan sampai nilai tertentu (N) kemudian secara otomatis mereset semua output menjadi nol. Besar N tergantung dari kebutuhan, missal kita inginkan N=6, maka penghitung akan direset saat outputnya = 0110 yang berarti bit ke 2 dan ke 3 yang dijalin dalam gerbang NAND kemudian outputnya digunakan untuk mereset Flip-Flop.

a. Rangkaian Pembagi N=6

Sebagai contoh pada gambar berikut terlihat bahwa penghitung akan direset secara otomatis apabila hitungan telah mencapai 1010 (10 desimal) yang berarti N=10, yaitu nilai 1 pada QB dan pada QD sebagai input NAND akan menghasilkan 0 untuk diumpankan pada reset.

b. Rangkaian Pembagi N=10

c. Timing Diagram

Gambar 32. Pembagi N=10

Page 57: Teknik Digital

MSB LSB

CLK QD Qc QB QA

0 0 0 0

1 0 0 0 1

2 0 0 1 0 QB = 1, QD = 0

3 0 0 1 1

4 0 1 0 0

5 0 1 0 1

6 0 1 1 0 QB = 1, QD = 0

7 0 1 1 1

8 1 0 0 0 QD = 1 , QB = 0

9 1 0 0 1

10 1 0 1 0 QD = 1 , QB = 1 (Reset)

0 0 0 0

Tabel kebenaran Pembagi N=10

Dari table dapat dilihat bahwa saat QB = 1, QD = 0 penghitung belum direset, begitu pula saat QB = 1, QD = 0 penghitung juga belum direset baru setelah QD = 1 , QB = 1 penghitung direset.

g. Waktu Propagasi

Problem yang muncul dengan penghitung asinkron diatas adalah adanya propagation delay pada setiap Flip-flop, sebagai contoh pada pembagi 50 dibutuhkan 6 buah JK-FF atau D-FF. Berdasarkan data book untuk IC jenis ini memerlukan 15 atau 16 ns untuk setiap flip-flop, oleh karena itu didapatkan perlambatan penghitung sebesar 6x16 = 96 ns.

Hal ini berarti output terakhir akan berubah setelah beberapa saat dari clock atau setelah tingkat pertama berubah, dengan demikian ada kondisi dimana data pada output parallel tidak benar. Oleh karena itu pada penghitung ripple kecepatan clock terbatas, dan periode clock harus lebih panjang dibanding jumlah keseluruhan waktu propagasi. Dengan kondisi ini penghitung disebut dengan Penghitung Asinkron.

Pada gambar 58 menunjukan dengan jelas efek dari propagasi delay antara pulsa clock dan perubahan ke High pada output QD. Skew merupakan offset antara tepi pulsa clock dengan awal perubahan output QD.

Jika kita perhatikan pada timing diagram untuk output QD dan pada timing berikutnya selalu terdapat penundaan waktu, sehingga pada waktu pulsa clock pertama seharusnya didapatkan data 1111 akan tetapi ditampilkan data 0111, hal ini disebabkan flip-flop terakhir tidak memiliki waktu untuk berubah akibat penundaan waktu tersebut.

Page 58: Teknik Digital

Gambar 33. Penundaaan Waktu Propagasi Pada Penghitung

Berikut merupakan tabel beberapa IC Penghitung Ripple

74HCT93 4-bit binary ripple counter, untuk pembagi 2, 8 atau 16

74HCT390 dual decade ripple counter, untuk pembagi 2, 5 atau 10

74HCT393 dual 4-bit binary ripple counter, untuk pembagi 16 atau 32

74HCT4020 14-stage binary ripple counter

74HCT4024 7-stage binary ripple counter

74HCT4040 12-stage binary ripple counter

74HCT4060 14-stage binary ripple counter dengan osilator

4. Penghitung (Counter) Sinkron Dalam aplikasi penghitung asinkron kita mendapat masalah dengan penundaan waktu propagasi, yang disebabkan system serial atau ripple. Untuk perlu penyempurnaan system clock yaitu dengan menerapkan system clock secara serempak pada setiap Flip-flop, system ini sering disebut dengan Penghitung Sinkron.

Secara prinsip kerja antara sinkron dan asinkron adalah sama, hanya pada sinkron clock secara bersama dan propagasi delay hanya terjadi pada satu flip-flop. Dengan demikian pada penghitung sinkron tidak ditemui permasalahan skew.

Sebagai contoh IC 74HCT161 adalah penghitung sinkron, dimana saluran clock pada setiap flip-flop dilakukan secara serentak sehingga output berubah dalam waktu yang sama dibawah pengendalian clock.

Gambar 59 memperlihatkan sebuah penghitung sinkron 4 tingkat, bila input J dan K berlogika High maka penghitung akan toggle bilamana diberikan clock.

Sedangkan fungsi dari gerbang AND pada rangkaian adalah menset-up kondisi toggle dalam satu siklus clock menjadi clock aktif edge.

Page 59: Teknik Digital

a. Rangkaian Penghitung Sinkron

b. Timing Diagram Penghitung sinkron.

Gambar 34. Penghitung Sinkron

a. Penghitung Lingkar (Ring Counter)

Penghitung sinkron ini menggunakan D-FF, dimana clock disambungkan jadi satu, output dari D-FF pertama disambungkan ke input D-FF kedua, Output D-FF kedua disambungkan ke input D-FF ketiga, output D-FF ketiga disambungkan ke input D-FF keempat dan output dari D-FF keempat disambungkan balik ke input D-FF pertama.

Kalau kita lihat system penyambungannya maka terlihat adanya lingkaran data (ring) yaitu mulai dari D-FF pertama kembali lagi ke D-FF pertama, sedangkan clock diumpankan pada

Page 60: Teknik Digital

seluruh D-FF secara serentak sehingga perubahan pada masing-masing output serentak pula.

a. Rangkaian Ring counter

A B C D Count

1

0

0

0

0

1

0

0

0

0

1

0

0

0

0

1

0

1

2

3

1

0

0 0 . . . .

0

1

0 0 . . . .

0

0

1 0 . . . .

0

0

0 1 . . .

4

5

6

7 . . . .

b. Timing Diagram c. Tabel

Gambar 35. Penghitung Lingkar (Ring Counter)

Penghitung ini berfungsi sebagai MODULO 4, yang memiliki 4 macam kondisi sebelum mengulang sekuensial berikutnya.

b. Penghitung Johnson (Johnson Counter)

Penghitung sinkron ini menggunakan D-FF, dimana clock disambungkan jadi satu, output dari D-FF pertama disambungkan ke input D-FF kedua, Output D-FF kedua disambungkan ke input D-FF ketiga, output inverting D-FF ketiga disambungkan ke input D-FF pertama.

Dari D-FF pertama kembali lagi ke D-FF pertama hampir sama dengan penghitung lingkar, perbedaannya pada output inverting D-FF ketiga disambungkan ke input D-FF pertama. Sedangkan clock diumpankan pada seluruh D-FF secara serentak sehingga perubahan pada masing-masing output serentak pula.

a. Rangkaian Penghitung Johnson

Page 61: Teknik Digital

A B C Count

0

1

1

1

0

0

0

0

1

1

1

0

0

0

0

1

1

1

0

1

2

3

4

5

0

1

1. . . .

0

0

1 . . .

0

0 0 . . .

6

7 8 . . .

b. Timing Diagram Penghitung Johnson c. Tabel kebenaran

Gambar 36. Penghitung Johnson

Pada penghitung ini diperoleh 6 kondisi output yaitu 000, 100, 110, 111, 011 dan 001 sebelum pengulangan sekuensial berikutnya. Sehingga penghitung Johnson lebih merupakan MODULO 6, dan terlihat tidak menghitung seperti layaknya penghitung biner normal.

c, Aplikasi Counter c.1. Penghitung langsung Contoh aplikasi penghitung langsung ditunjukan pada gambar 37, dimana counter digunakan untuk menghitung jumlah kapsul yang melewati sensor infrared. Setiap ada kapsul yang memotong sinar infrared sensor akan mengubahnya menjadi sinyal (clock), karena clock ini diumpankan pada clock sebuah counter maka counter akan menghitung atau naik satu. Jadi bila ada 10 kapsul yang memotong sinar maka ada 10 clock yang diberikan oleh sensor dan counter akan menghitung 1 sampai 10.

Gambar 37. Penghitung langsung

Page 62: Teknik Digital

c.2. Pengukur Frekuensi

Pada system ini input dalam bentuk sinus diubah menjadi pulsa kotak melalui rangkaian Zero crossing detector, untuk menentukan frekuensi adalah dengan cara membuat rangkaian gerbang AND antara input sinyal (setelah diubah) dengan gelombang kotak dari sebuah osilator presisi 1 MHZ dibagi 106 oleh sebuah pembagi. Dari pembagi akan keluar gelombang kotak dengan frekuensi 1Hz untuk diumpankan pada JK-FF yang difungsikan sebagai T-FF (toggle), sehingga akan memberikan waktu untuk gerbang AND selama 1 detik. Dengan demikian sejumlah pulsa akan keluar dari gerbang selama waktu 1 detik dan diumpankan pada clock dari penghitung, tampilan pada display adalah jumlah pulsa per detik (frekuensi) yang berarti dalam satuan Hz.

Gambar 38. Pengukur frekuensi.

c.3. Pengukur Waktu

Hampir sama dengan pengukur frekuensi, hanya pada rangkaian ini menerapkan reset pada penghitung untuk memulai penghitungan. Kita ketahui bahwa output JK-FF merupakan clock dengan waktu 1 det (frekuensi 1Hz), sehingga penghitung akan memulai dari 0 dan seterusnya dimana setiap kenaikan hitungan berselisih 1 det.

Gambar 39. Pengukur waktu

Page 63: Teknik Digital

c.4. Pengukur Jarak

Dalam system sonar pulsa ditransmisikan dan dibalikan oleh obyek kemudian diterima oleh penerima, dalam hal ini waktu yang digunakan oleh sebuah sinyal mulai ditransmisikan sampai diterima kembali merupakan waktu pengukuran (t detik). Sedangkan sinyal yang dikirimkan bisa berupa cahaya atau suara dimana kecepatan suara atau cahaya sudah kita ketahui (V km/det), maka jarak dapat diukur adalah S = (Vxt) / 2 km.

Pada system ini juga menerapkan pengukuran waktu, untuk start penghitung mulai dari 0 (dengan mereset) penghitung kemudian mulai menghitung naik sampai penghitung distop saat penerima memberikan sinyal 0 setelah menerima sinyal balik. Dan hasil hitungan merupakan pengukuran lintasan sinyal.

Gambar 40. Pengukuran Jarak

c.5. Pengukur Kecepatan

Pada pengukuran ini prinsipnya juga pengukuran waktu, hanya saja obyek yang diukur kecepatannya disensor pada dua tempat yang jaraknya sudah tertentu. Kemudian start dimulai saat obyek meninggal titik pertama, saat ini penghitung mulkai menghitung dari 0 sampai obyek sampai dititik kedua dan sensor akan menghentikan penghitung. Kecepatan akhirnya dapat ditentukan dengan rumus V = S / t m/det.

Gambar 41. Pengukuran Kecepatan

Page 64: Teknik Digital

1. Pengenalan ESD Dekade sembilan puluhan merupakan dekade Kualitas dalam industri elektronika. Peningkatan kompetisi, six-sigma quality, dan ISO 9000 menekankan kesepakatan pada kualitas sehingga perusahaan diharuskan untuk tidak semaunya sendiri-sendiri. Sebagaimana telah diuji lingkungan kita untuk meningkatkan kualitas, maka electrostatic discharge (ESD) merupakan sasaran kunci.

Elektro statik telah menjadi permasalahan di industri sudah berabad-abad, diawali sekitar tahun 1400 dimana Eropa dan Karibia mnerapkan prosedur pengendalian statik dan perlindungan terhadap bahaya elektrostatik pada sistem penyimpanan tepung hitam (black powder). Di tahun 1860, pabrik kertas menerapkan di US karyawan dengan basic pentanahan, teknik ionisasi bahan mudah terbakar dan drum uap untuk menyalurkan listrik statis dari jaringan kertas selama proses kering. Umur barang elektronik dengan problem baru adalah bertalian dengan listrik statis dan pemuatan elektrosatatis. Dan sebagai peralatan elektronik menjadi cepat dan kecil sensitifitasnya terhadap ESD meningkat.

Dewasa ini, ESD berpengaruh pada produksi dan kenyataan produk dalam setiap aspek lingkungan elektronik. Banyak aspek pengendali elektrostatis dalam industri elektronik juga diaplikasikan dalam industri lain seperti aplikasi dlam ruang bersih dan seni grafik.

Meskipun perjanjian besar sebagai upaya beberapa dekade, ESD tetap berpengaruh pada hasil produksi, biaya produksi, kualitas produksi, ketahanan produksi dan keuntungan. Para ahli di industri memperkirakan kerugian rata-rata produk karena statis berkisar antara (tabel). Perkiraan lain aktual biaya kerusakan karena ESD setiap tahunnya mencapai bilion dolar US. Biaya kerusakan komponen itu sendiri berkisar hanya beberapa sen untuk dioda samapai beberapa ratus dolar untuk hibrid yang lebih komplek. Bila diperhitungkan berkaitan dengan perbaikan dan pengulangan pekerjaan, pengapalan, laboratorium dan termasuk over head, jelas terlihat suatu peningkatan.

Laporan Kerugian akibat Statik

Diskripsi Min. Maks. Rata-rata

Pabrik Komponen 4% 97% 16-22%

Subkontraktor 3% 70% 9-15%

Kontraktor 2% 35% 8-14%

Pemakai 5% 70% 27-33%

Sumber: Stephen Halperin, "Guidelines for Static Control Management," Eurostat, 1990.

Page 65: Teknik Digital

2. Terjadinya muatan Listrik Statis

Listrik statis timbul sebagai muatan listrik yang disebabkan oleh adanya tidak sesuainya jumlah elektron pada permukaan bahan. Ketidak sesuain jumlah elektron yang dihasilkan oleh suatu medan listrik dapat diukur dan dapat mempengaruhi obyek pada jarak tertentu. Pemuatan elektrostatis merupakan perpindahan muatan antara badan pada perbedaan potensial listrik.

Muatan elektrostatis dapat mengubah karakteristik kelistrikan dari suatu peralatan semikonduktor, penurunan atau perusakan. Muatan elektrostatis juga membuat gangguan saat operasi normal pada sebuah sistem elektronik, sehingga menyebabkan gangguan fungsi pada peralatan atau kegagalan operasi. Permasalahan lain yang disebabkan elektrostatis muncul dalam ruang bersih, muatan pada permukaan dapat menarik dan menahan kontaminan, melepas dari likungan sulit. Bila mencemari pada permukaan lapisan silikon atau rangkaian listrik dalam suatu peralatan, menyebabkan kerusakan pada lapisan secara random dan menurunkan hasil produksi.

Untuk pengendalian elektrostatis harus diawali dari pengertian bagaimana proses timbulnya muatan elektrostatis pada tempat yang pertamakali. Muatan elektrostatis timbul umumnya karena adanya kontak dan terpisahnya dua bahan yang sama atau bahan yang tidak sama. Sebagai contoh seseorang berjalan melintasi lantai yang dapat menimbulkan eletrostatis, oleh karena sol sepatu kontak dan terpisah dari permukaan lantai. Berikut merupakan gambar proses terjadi muatan elektrostatis.

Gambar 1: Muatan Triboelectris akibat kontak dan pemisahan bahan.

Timbulnya muatan elektrostatis sebagai akibat kontak dan terpisahnya bahan dikenal dengan sebutan “muatan Triboelektris” yaitu adanya perpindahan elektron anatara bahan. Atom dari bahan tidak mengandung muatan statis memiliki jumlah proton (+) dan elektron (-) yang sama. Dalam gambar 1 bahan A memiliki atom dengan jumlah proton dan elektron yang sama, bahan B juga memiliki atom dengan jumlah proton dan elektron yang sama sehingga dapat dikatakan keduanya merupakan bahan dengan elektris netral.

Bila kedua bahan diletakan dalam posisi kontak dan kemudian dilepaskan, muatan negatip elektronperpindah dari permukaan satu bahan ke permukaan bahan lainnya. Bahan satu kehilangan elektron dan yang lainya terjadi penambahan elektron tergantung dari kondisi

Page 66: Teknik Digital

alami kedua bahan. Bahan yang kehilangan elektron akan menjadi bermuatan positip dan bahan yang bertamabah elektron menjadi bermuatan negatip sperti ditunjukan pada gambar.

Tingkatan muatan diukur dalam satuan coulomb, umumnya bila kita berbicara tentang potensial elektrostatis pada obyek, hal itu memberikan ekspresi sebagai tegangan.

Besar kecil muatan yang timbul tergantung pada kecepatan kontak dan terpisahnya bahan, relatif pada humiditas udara dan faktor lain. Sekali muatan timbul pada bahan, akan membuatan muatan elektrostatis dan muatan ini memungkinkan berpindah ke bahan lain, timbulnya pemuatan eletrostatis pada bahan lain atau lebih populer dengan istilah ESD.

Tabel 2 Contoh pembangkitan statis dengan level tegangannya

Prosesi pembangkitan 10-25% RH 65-90% RH

Berjalan melewati karpet 35,000V 1,500V

Berjalan melewati vinil 12,000V 250V

Bekerja di bangku kerja 6,000V 100V

Poly bag diambil dari bangku 20,000V 1,200V

Kursi dengan dudukan busa 18,000V 1,500V

3. Karakteristik Bahan dan Proses Pencemaran Muatan Statis

Semua bahan termasuk air dan partikel kotor di udara bisa jadi bermuatan triboelektris, berapa banyak muatan dihasilkan, kemana muatan tersebut pergi dan seberapa cepat merupakan fungsi karakteristik elektrik bahan.

Insulator Bahan yang menahan atau membatasi aliran elektron yang melewati permukaan atau melalui volume disebut dengan insulator. Insulator secara ekstrim memiliki resitanasi tinggi terhadap listrik, walaupun permukaan dapat menghasilkan sejumlah muatan tetapi tidak memiliki kemampuan untuk mengalirkan elektron. Oleh karena bahan isolasi tidak siap mengalirkan elektron baik muatan positip atau muatan negatip akan tetap tinggal di permukaan. Dengan demikian kedua muatan baik positip maupun negatip akan menempati temtap atau lokasi dalam waktu yang lama.

Bahan Konduktor

Bahan konduktor merupakan bahan yang memiliki resitansi kecil terhadap listrik dan mudah bagi elektron untuk mengalir di permukaan atai didalamnya. Apabila bahan konduktor mendapat muatan akan langsung didistribusikan pada seluruh permukaan bahan. Jika

Page 67: Teknik Digital

bahan kondustor tersebut terjadi kontak dengan bahan konduktor lain akan mudah muatan melintas diantara bahan tersebut, dan apabila bahan tersebut tersambung ke tanah (ground) maka elektron akan mengalir ke ground dan kondukter akan menjadi netral kembali.

Muatan elektrosatatis dan membangkitkan triboelectris pada konduktor sebagaimana terjadi pada bahan insulator, sejauh bahan terisolasi dari konduktor lain atau terhadap ground.

Bahan Disipasi Statis

Bahan disipasi statis memiliki resitansi elektris antara bahan insulasi dan bahan konduksi, dimana elektron dapat mengalir melalui bahan ini, tetapi untuk itu diperlukan pengendalian resistansi permukaan atau volume dari bahan tersebut.

Pada bahan ini juga berlaku sama, yaitu muatan dapat membangkitkan triboelektris pada bahan disipasi statis. Sebagaimana bahan konduksi bahan ini juga dapat memindahkan muatan ke ground atau bahan konduksi lainnya. Perpindahan muatan memerlukan waktu lebih lama dibanding bahan konduksi untuk ukuran yang sama. Akan tetapi relatif lebih cepat dibanding bahan insulator.

Seri Triboelectris

Bila dua bahan kontak dan terpisah, polaritas dan besar muatan dindikasikan melalui posisi bahan dalam seri triboelektris. Berikut menunjukan secara sederhana seri triboelektris,

Table Seri Triboelectris

+Positip

Negatip-

Asetat Kaca Nilon Wool Timah hitam Aluminum Kertau Katun Kayu Baja Nikel-TembagaKaret Polister PVC Silikon Teflon

Kerusakan Akibat ESD

Kerusakan akibat ESD pada peralatan elektronik dapat terjadi pada berbagai sebab dari pabrik sampai ke layanan lapangan. Kerusakan diakibatkan penanganan peralatan dalam ketidak baikan layanan atau kurangnya kendali praktek ESD yang dikatagorikan kerusakan

Page 68: Teknik Digital

besar atau kerusakan laten. Kerusakan umumnya terjadi pada tiga daerah pemuatan elektrostatis langsung, elektrostatis dari peralatan dan induksi muatan dari lapangan.

Kerusakan Besar

Bila peralatan elektronik akibat ESD tidak lagi dapat berfungsi, baik karena logam melelel, putusnya sambungan atau kerusakan akibat oxida. Peralatan permanen rusak karena peralatan tidak bekerja dengan semestinya, biasanya dapat dideteksi ketika peralatan diuji sebelum dikirimkan, jika ESD terlihat setelah diuji maka kerusakan tidak dapat terdeteksi sampai peralatan tersebut dioperasikan.

Kerusakan Laten

Kerusakan laten ini sangat sukar dideteksi, karena peralatan tercemari ESD sebagian kecil maka masih akan menampilkan fungsi. Pada saatnya umur operasi peralatan akan terkurangi secara dramatis, dan peralatan rusak saat perlu perbaikan sehingga perlu biaya cukup besar saat perbaikan.

Tabel Tingkat kemudahan Komponen tercemar ESD

Tipe peralatan Tingkat kemudahan ESD (Volts)

VMOS 30 - 1,200

Mosfet, GaAsfet, EPROM 100 - 300

JFET 150 - 7,000

OP-AMP 190 - 2,500

Schottky Diodes 300 - 2,500

Film Resistors 300 - 3,000

Schottky TTL 1,000 - 2,500

2. Prinsip Pengendali ESD

Dengan memperhatikan permasalahan pada bab terdahulu, maka berikut ini akan dijelaskan prinsip pengendalian Statis. Tugas membuat dan mengimplementasikan prinsip pengendali ESD dapat kita simak sebagai berikut:

Page 69: Teknik Digital

Menentukan Ketahanan

Prinsip pertama adalah membuat rencana produksi dan perakitan memiliki ketahanan terhadao ESD. Langkah disini meliputi menggunakan sedikit mungkin bahan dengan sesitivitas kecil atau layanan proteksi input, board, perakitan dan peralatan yang digunakan dari pengaruh ESD.

Menghilangkan Dan Mereduksi Muatan

Prinsip kedua adalah pengendalian untuk menhilangkan atau mereduksi pembangkitan dan akumulasi muatan elektrostatis pada tempat pertama. Secara prinsip tidak terjadi pemuatan dan penghilangan muatan, yang diawali dengan menurunkan sebanyak mungkin proses pembangkitan muatan atau bahan. Seperti kontak dan pemisahan bahan yang sejenis dan plastik umumnya sangat mungkin menimbulkan muatan statis dalam lingkungan pekerjaan. Kita gunakan proses dan material pada potensial elektrostatis yang sama, karena untuk bahan dengan potensial yang sama atau potensial nol tidak akan menimbulkan muatan elektrostatis. Untuk itu kita sedian ground path, seperti wrist straps, flooring dan worksurface, agar terjadi reduksi pembangkitan muatan dan akumulasinya.

Dissipasi dan Netralisasi

Karena secara sederhana kita tak dapat menghilangkan semuapembangkitan statis di lingkungan kerja, maka cara ketiga adalah dengan cara mendisipasi dan mentralisasi semua muatan yang timbul secara aman. Grounding yang baik dan penggunaan konduksi atau bahan disipasi merupakan alternatif yang baik, sebagai contoh seorang pekerja membawa muatan ke lingkungan tempat kerja akan memberihkan muatan dengan sendirinya mana kala mereka menggunakan gelang pengikat atau berjalan melewati lantai ESD karena menggunakan alas kaki pengendali. Dengan demikian muatan cenderung dibuang ke ground dibanding ke komponen yang sensitif, untuk menjaga kerusakan peralatan karena muatan dapat dikendalikan dengan bahan disipasi statis.

Untuk beberap obyek seperti plastik dan bahan insulator lainya, grounding tidak dapat menghilangkan muatan elektrostatis karena disitu tidak ditemui konduksi. Untuk itu digunakan ionisasi untuk menetralisir muatan pada bahan insulator. Mengapa demikian karena proses ionisas dapat membangkitkan ion positip dan ion positip yang ditarik ke permukaan obyek muatan.

Proteksi Produksi

Prinsip pengendali ESD terakhir adalah untuk menjaga pemuatan yang timbul dari jangkauan mudah kena dan perakitan, satu cara yaitu memberikan komponen dan perakitan dengan grounding yang baik atau melalukannya pemuatan lepas dari produksi. Cara lain adalah dengan mengemas produksi dengan bahan khusus untuk melindungi komponen dari pengaruh ESD saat pengiriman.

3. Elemen Program Kendali ESD

Mengingat betapa pentingnya pengendalian pengaruh ESD terhadap proses produksi, maka berikut merupakan langkah-langkah yang dapat membantu dalam rangka proteksi produksi terhadap ESD, yaitu:

• Membentuk Koordinator dan Team ESD

• Mengidentifikasi kemungkinan kerugian terbanyak

Page 70: Teknik Digital

• Mengevaluasi fasilitas, proses dan kebutuhan

• Mengidentifikasi item snsitif ESD

• Membentuk justifikasi untuk program

• Mencari dukungan dari Top Manajemen

• Mewujutkan dan mengimplementasikan prosedur dan spesifikasi

• Melatih Personel

• Review, audit, analisis, Laporan, Umpan balik dan peningkatan

Informasi tambahan:

Dangelmayer, Theodore, ESD Program Management: A Realistic Approach to Continuous, Measurable Improvement in Static Control, Van Nostrand Reinhold, 1999.

ESD ADV-2.0-1994, ESD Control Handbook, ESD Association, Rome, NY.

Halperin, Stephen A., "Facility Evaluation: Isolating Environmental ESD Issues," EOS/ESD Symposium Proceedings, 1980, ESD Association, Rome, NY

Page 71: Teknik Digital
Karakteristik Logika TTL dan CMOS

1. Parameter Arus dan Tegangan Famili logik dapat dibagi menjadi dua katagori berdasar pada proses pembuatannya yaitu TTL merupakan rangkaian gerbang logika yang dibangun dari Transistor-Transistor yang memerlukan tegangan kerja 5 Volt yang diregulasi 5% (+/-250mV), dengan demikian variasi tegangan berkisar anatara 4,75 Volt sampai 5,25 Volt, dan MOS merupakan rangkaian gerbang logika yang dibangun dari Metal Oxide Semiconductor atau bekerja atas dasar transistor efek medan (MOSFET). Pada keluarga MOS sangat sesuai untuk MSI dan LSI karena rangkaian MOS membutuhkan bidang yang kecil dan pemakai daya listrik lebih kecil dibanding bipolar. Dibanding dengan bipolar maka MOS operasinya lebih lambat dan membutuhkan penanganan khusus dan penyimpanan yang hati-hati. Berikut merupakan parameter arus dan tegangan yang biasanya digunakan untuk menentukan karakteristik keluarga logik:

• VIH(Vin(1)) high level input voltage yaitu level tegangan yang dibutuhkan untuk mewakili logika 1 pada input, dan semua tegangan yang berada dibawah level ini tidak akan diterima sebagai logika 1 (high).

• VIL(Vin(0)) low level input voltage yaitu dibutuhkan untuk mewakili logika 0 pada input, dan semua tegangan yang berada diatas level ini tidak akan diterima sebagai logika 0 (low).

• VOH(Vout(1)) high level output voltage yaitu level tegangan yang dibutuhkan untuk mewakili logika 1 pada output, dan semua tegangan yang berada dibawah level ini tidak akan diterima sebagai logika 1 (high).

• VOL(Vout(0)) low level output voltage yaitu dibutuhkan untuk mewakili logika 0 pada output, dan semua tegangan yang berada diatas level ini tidak akan diterima sebagai logika 0 (low).

• IIH(Iin(1)) high level input current yaitu arus yang masuk ke input bilamana pada input diberikan tegangan untuk mewakili logika 1 (high).

• IIL(Iin(0)) low level input current yaitu arus yang masuk ke input bilamana pada input diberikan tegangan untuk mewakili logika 0 (low).

• IOH(Iout(1)) high level output current yaitu arus yang keluar dari output bilamana pada input diberikan tegangan untuk mewakili logika 1 (high).

• IOL(Iout(0)) low level output current yaitu arus yang keluar dari output bilamana pada input diberikan tegangan untuk mewakili logika 0 (low).

Beirkut merupakan contoh tegangan operasional dari TTL.

Tegangan sumber yang diijinkan 7V (8V untuk seri 74LXX)

Daerah batas tegangan operasi 4.75 sampai 525V

Tegangan input maksimum 5.5V

Tegangan maksimum untuk Kolektor terbuka

7V (8V for 74LXX series)

Page 72: Teknik Digital

Sedangkan untuk CMOS merupakan keluarga logic yang mengkonsumsi tenaga listrik sangat rendah disbanding TTL, dan bekerja pada tegangan 2 Volt sampai 15 Volt serta mempunyai toleransi cukup terhadap regulasi tegangan dan ripple. Penampilan kerja bagus berkaitan dengan kecepatan dan resiko kecil terhadap noise biasanya digunakan tegangan kerja anatara 9 sampai dengan 12 Volt. Agar dapat bekerja bersama dengan TTL (seri 74) tegangan kerja biasanya diambil 5 Volt +10% (4,5 Volt sampai 5,5 Volt).

Gambar 1. Tegangan sumber untuk TTL-LS dan HC, HCT

2. Faktor pembebanan, Waktu Transisi dan Tunda Propagasi a. Faktu Pembebanan (Fanout)

Pada umumnya keluaran rangkaian logika diumpankan pada beberapa input logika, seberapa banyak kemampuan sebuah output untuk mengendalikan input logika yang disambungkan kepadanya disebut dengan istilah faktor pembebanan (fanout). Sebagai contoh sebuah gerbang logika disepesifikasikan mampu mengendalikan 10 saluran input gerbang logika, maka faktor pembebanan dari gerbang tersebut adalah 10 (fanout=10).

Page 73: Teknik Digital

Output

Input

Gambar 2. Pembebanan pada sebuah gerbang AND

b. Waktu Transisi (Transition Times)

Waktu transisi (transition times) adalah waktu perubahan dari logika 0 ke logika 1 atau sebaliknya dari logika 1 ke logika 0, untuk itu beberapa rangkaian digital memberikan respon pada level logika yang ada pada input, akan tetapi beberapa yang lain memberikan respon pada saat terjadi perubahan dalam tegangan. Pada rangkaian terakhir ini merupakan hal yang esensial bahwa sinyal input memiliki kecepatan transisi yang kurang sehingga rangkaian tidak memberikan respon dengan benar dari perubahan ini. Untuk alasan ini waktu naik tR dan waktu turun tF sering dipesifikasikan terlebih dahulu. Nilai tR dan tF tidak selamanya harus sama hal ini tergantung pada besar beban yang dipasangkan pada output gerbang.

Secara ideal pulsa yang diinginkan dalam teknik digital adalah seperti yang digambarkan pada gambar 3, akan tetapi dalam prakteknya hal ini sangat sulit dicapai. Sebagai contoh pulsa yang diinginkan untuk IC 74HCT04 pada input seperti gambar 3a. dan output seperti gambar 3b, sedangkan gambar 3c menunjukan saat peralihan dari logika 0 ke logika 1 yang dikenal dengan istilah sisi naik (rising edge) dan peralihan dari logika 1 ke logika 0 yang disebut dengan sisi turun (falling edge). Untuk setiap peralihan sampai didapatkan logika tertentu sesuai yang diinginkan diperlukan waktu,biasanya diukur mulai dari 10% sampai 90% (tr) atau sebaliknya dari 90% ke 10% (tf).

Page 74: Teknik Digital

Gambar 3. Diagram waktu transisi pada rangkaian logika

c. Tunda Propagasi (Propagation Delays)

Semua peralatan elektronik secara phisik dibangun dari komponen kapsitansi, induktansi dan resistansi disamping komponen aktif lainnya. Pada kenyataannya komponen diaktifkan oleh arus listrik dan arus listrik yang mengalir memerlukan waktu, sehingga saat peralihan dari kondisi on ke kondisi off atau dari kondisi off ke kondisi on terdapat penundaan waktu antara input dan output.

Semua IC logika memiliki parasitik kapasitansi yang disebabkan adanya junction dioda, MOS transistor dan adanya interkoneksi aluminium dan polisilikon pada subtrat IC. Hal ini memberikan pengaruh yang sama seperti halnya pada pengisian dan pengosongan kapasitansi normal.

Penundaan waktu tersebut di dalam teknik digital disebut dengan tunda propagasi (propagation delay), dalam data sheet setiap IC digital biasanya dilengkapi dengan data ini. Pada gambar 4 menggambarkan tunda propagasi dari famili 74HCT dimana waktu peralihan pada output dari High ke Low adalah TPHL yaitu waktu yang dibutuhkan ketika input berubah dari Low ke High dan menyebabkan output berubah dari High ke Low. Dan terjadi hal yang sama dibutuhkan waktu TPLH saat perubahan input dari High ke Low dan menyebabkan output berubah dari Low ke High.

Page 75: Teknik Digital

Gambar 4. Tunda propagasi pada gerbang NOT

Contoh berikut memberikan ilustrasi komulasi tunda propagasi dari rangkaian 2 gerbang NOT (74HCT04) dan sebuah gerbang NOT (74HCT14), jika masing masing gerbang membutuhkan (tpHL + tpLH) 8ns untuk 74HCT04 dan 17 ns untuk 74HCT14, maka tunda propagasi untuk seluruh rangkaian adalah 8 ns + 8 ns + 17 ns = 32 ns

Gambar 5. Komulasi tunda propagasi

Page 76: Teknik Digital

3. Disipasi Daya untuk TTL dan CMOS Dewasa ini semua rangkaian selalu memperhitungkan paket yang sekecil-kecilnya, kecepatan tinggi, portable dan penggunaan listrik, yang paling diperhitungkan adalah:

• Penggunaan tenaga listrik sekecil mungkin.

• Kecepatan tinggi dengan propagasi yang sekecil-kecilnya

Tabel berikut menunjukan disipasi tenaga listrik yang dikonsumsi oleh TTL dan CMOS:

Tabel disipasi listrik pada TTL dan CMOS

Gambar 5. Diagram disipasi TTL dan CMOS

Page 77: Teknik Digital

4. Noise Pada Input TTL dan CMOS Pengaruh frekuensi atau signal dari luar selalu ada dan ini bila tidak diantisipasi akan mengacaukan signal pada sistem rangkaian, berikut merupakan contoh pengaruh yang didapat dari gangguan induksi dari sebuah motor. Pada gambar terlihat bahwa bentuk pulsa

keluar dari gerbang pertama masih bersih dan ditengah terinduksi dengan gangguan yang datangnya dari motor listrik, sehingga saat masuk ke gerbang kedua pulsa tersebut sudah berubah.

Gambar 6. Gangguan pulsa karena adanya induksi motor

Gambar 7. Diagram gangguan pulsa AC

Pabrik pembuat biasanya menyertakan diagram seperti pada gambar 7, dimana pada diagram terlihat bahwa noise margin selalu konstan untuk lebar pulsa lebih dari 10 ns tetapi

Page 78: Teknik Digital

naik secara cepat bilamana lebar pulsa menjadi kecil. Untuk DC noise margin biasanya terjadi pada daerah logik takdefinisikan sehingga saat logik 1 terjadi perbedaan antara tegangan output dengan level tegangan input, sehingga besar noise margin untuk logika 1 dapat dituliskan sebagai berikut:

VNH= VOH(min) – VIH(min)

Sedangkan untuk noise margin pada logika 0 dapat dituliskan sebagai berikut:

VNL=VIL(maks) – VOL(maks)

Berikut merupakan gambar diagram pulsa untuk noise margin DC:

Gambar 8. DC noise margin

5. Schmitt Trigger

Dalam praktik disamping noise pada sinyal yang sering terjadi juga jarang ditemui logik 1 bertegangan 5 volt atau logik 0 bertegangan 0 volt, hal ini disebabkan adanya perubahan logika yang sangat cepat, terutama pada rangkaian sistem mikroprosessor atau komputer. Berikut memberikan gambaran besar tegangan input pada gerbang logika yaitu untuk logika 0 berkisar antara 0 volt sampai dengan 0,8 volt dan logika 1 berkisar antara 2,0 volt sampai 5 volt, pertanyaan yang muncul adalah bagaimana kondisi logika antara 0,8 volt sampai 2 volt?.

Page 79: Teknik Digital

Tak bisa ditentukan

Logika 0

Logika 1

Gambar 9. Batas logika

Dalam suatu system rangkaian hal tidak dapat ditentukan, karena bukan Low dan bukan High, para perancang system menghidari kondisi ini walaupun dalam waktu yang singkat (nano detik). Salah satu cara agar diperoleh peralihan atau kondisi benar-benar Low atau High adalah penggunaan Schmitt trigger, gambar 10 merupakan contoh pulsa yang dilewatkan sebuah Schmitt trigger agar diperoleh pulsa yang lebih baik.

Gambar 10. Perbaikan pulsa melalui Schmitt trigger

Page 80: Teknik Digital

UTP (Upper Threshold Point) pada gambar pulsa diatas adalah titik dimana Schmitt trigger merubah kondisi Low ke High dan LTP (Lower Threshold Point) Schmitt trigger merubah kondisi High ke Low. Disini terlihat bahwa keluaran dari Schmitt trigger merupakan pulsa yang lebih baik, terlebih lagi bila pada pulsa input terdapat gangguan (noise) akan diperoleh signal yang berbeda dengan yang diinginkan seperti yang ditunjukan dalam gambar 11 berikut.

Gambar 11. Komparasi penggunaan gerbang normal dengan Schmitt trigger

Secara rinci proses pembentukan pulsa melalui Schmitt trigger adalah diawali adanya tegangan masukan sebesar LTP (0,8 volt = logika 0) pada saat ini terdapat perubahan secara drastis (dari 5 ke 0,8 volt) pada output sehingga dapat dilihat sebagai garis lurus vertical, kemudian kondisi ini akan dipertahankan sampai adanya perubahan tegangan masukan. Bila tegangan masukan berubah menjadi UTP (1,6 volt = logika 1) maka dengan drastis pada output akan berubah dari Low ke High secara garis vertical.

Teg. Output

Gambar 12. Karakteristik Input/Output Schmitt Trigger

Teg. Input

Page 81: Teknik Digital

5. Perilaku Arus Pada Rangkaian Logika Keluarga logika dapat dikatagorikan berdasar bagaimana arus mengalir dari ouput suatu gerbang logika ke input gerbang logika yang lain, gambar 13a memberikan ilustrasi arus listrik mengalir dari positip battery melalui kolektor-emitor dan ke ground. Aliran arus ini disebut dengan sebutan sinking current, dan gambar 13b aliran arus diawali dari positip battery melalui input gerbang berikutnya menuju ke ground, aliran ini disebut dengan sourcing current.

Gambar 13. Perilaku arus pada rangkaian logika

Page 82: Teknik Digital

Keluarga Logika TTL dan CMOS

1. Level Logika

Hanya terdapat dua logika dalam teknik digital yaitu dua keadaan 5 Volt atau 0 Volt, High atau Low, True atau False. Pada kondisi Low tegangan pada output TTL (VOLmax) kira-kira 0,4 Volt atau 0,5 untuk 74 LS dan pada kondisi High (VOHmax) diatas 2,4 Volt dan 2,7 Volt untuk 74 LS bahkan biasanya 3,4 Volt. Sedangkan untuk input 0,8 Volt atau kurang untuk VILmax dan diatas 2 Volt sebagai kondisi High.

Berikut merupakan gambar level logika untuk TTL dan LSTTL:

a. Level tegangan logika untuk LSTTL

b. Level tegangan logika untuk TTL

Gambar 14. Level tegangan Logika TTL dan LSTTL

Page 83: Teknik Digital

Untuk CMOS kondisi logika output Low kira-kira 0,1 Volt, Output High kira-kira VDD minus 0,1 Volt, dan untuk input 30% dari VDD serta 70% VDD untuk input High.

Gambar 15. Level tegangan Logika CMOS

2. Operasi Rangkaian Logika TTL

a. TTL dengan multi input emitor

a. Rangkaian dasar TTL gerbang NAND b. Rangkaian ekivalen untuk Q1

Gambar 16. Keluarga TTL (gerbang NAND)

Page 84: Teknik Digital

Pada gambar terlihat bila salah satu input Low, maka basis Q2 akan OFF dengan demikian tidak ada arus mengalir pada kolektor dan emitor Q2 yang mengakibatkan Q4 OFF sedangkan Q3 ON karena ada arus mengalir melalui R2 ke basis Q3 sehingga ada arus mengalir dari R3 ke kolektor-emitor Q3 terus ke D1 dan ke output. Begitu sebaliknya bila Q2 ON maka Q4 akan ON akibatnya output terhubung dengan ground melalui kolektor-emitor Q4 yang berarti output berlogika 0.

Dari sistem operasi rangkaian TTL diatas terlihat bahwa komponen aktif transistor adalah memegang peranan dalam menentukan kondisi output, untuk input diterapkan sistem multi emitor (input bisa lebih dari 2) dimana bila salah satu input terhubung dengan ground maka arus akan melaluinya dan ini ekivalen dengan dipasangkannya sebuah dioda (gambar 16b).

Gambar 17. Hubungan output dan input dua gerbang yang saling dihubungkan

Berkaitan dengan emiter sebagai input, kita bisa melihat hubungan antara input dan output dua gerbang yang saling dihubungkan, sebagai contoh kita lihat gambar 17 yang mengilustrasikan adanya arus sinking yaitu kondisi gerbang pertama Q3 OFF dan Q4 ON sehingga arus mengalir dari +5 V nelalui R1 ke basis-emitor Q1 dan menuju kolektor emitor Q4 oleh karena Q4 ON maka arus diteruskan ke ground.

b. Standar karakteristik TTL

Texas instruments sejak tahun 1964 memperkenalkan produk TTL dengan seri 5400/7400 yang saat ini lebih dikenal dengan keluarga IC logik, dimana perbedaan kedua seri nomor adalah 5400 diperuntukan bagi militer dan seri 7400 untuk komersial. Fairchild memproduksi dengan seri 9N00, 9300, 9600 yang pada intinya ekivalen terhadap seri 7400.

Seri 7400 dibuat untuk mampu bekerja pada suhu 0-70oC dengan tegangan kerja Vcc dari 4,75 s/d 5,25 volt, sedangkan untuk seri 5400 dapat bekerja pada suhu –55 s/d +125oC dan pada tegangan kerja 4,5 s/d 5,5 volt. Kedua seri ini memiliki faktor pembebanan (fanout) 10 yang artinya dapat mengendalikan sejumlah 10 buah input gerbang IC TTL.

Page 85: Teknik Digital

Level tegangan untuk seri 7400 bila diberikan pada batas minimum atau pada level maksimum akan didapati kondisi logika yang sangat jelek baik dari sisi tegangan sumbernya, suhu kerja dan faktor pembebanan, berdasarkan pengalaman dilapangan tegangan untuk logika 0 maksimum VOL = 0,4 volt untuk output dan VIL = 0,8 untuk input dan untuk logika 1 minimum VOH = 2,4 volt, normal VOH = 3,6 volt untuk output dan VIH = 2,0 volt minimum untuk input. Disipasi daya untuk TTL rata-rata arus dibutuhkan ICC = 2mA, sehingga disipasi daya pada gerbang TTL adalah 2 mA x 5V = 10 mW, tunda propagasi untuk tPLH = 11ns dan tPHL = 7 ns, fanout =10 dan noise margin VNL = VNH = 400 mV.

Untuk memenuhi berbagai kebutuhan bagi pemakai, maka dibuat TTL diluar seri 7400 yang meliputi:

• Seri 74L00 (Low power), secara umum dasar rangkaian sama dan perbedaannya terletak pada penggunaan resistor di dalam IC diperbesar sehingga menurunkan disipasi daya pada IC, sebagai contoh untuk gerbang NAND disipasi daya rata-rata 1 mW dengan tunda propagasi 33 ns. Tipe ini sangat ideal untuk aplikasi dimana dibutuhkan perhitungan daya lebih kecil dibanding kebutuhan kecepatan, frekuensi rendah (misal untuk kalkulator).

• Seri 74H00 (High speed), secara umum dasar rangkaian sama dan perbedaannya digunakan resistor kecil dan emittor follower transistor serta diterapkannya sistem darlington pada output. Tunda propagasi 6 ns, hal ini menyebabkan naiknya disipasi daya yaitu untuk gerbang NAND mencapai 23 mW.

• Seri 74S00 (Schottky), merupakan IC yang dibuat untuk melayani kecepatan tinggi untuk TTL yaitu dengan menerapkan schottky barrier diode (SBD) disambungkan antara basis dan kolektor dari rangkaian transistor. Dengan demikian diode berfungsi sebagai pencegah bias maju transistor lebih dari 0,25 volt ketika kondisi saturasi dicapai, sebagai hasil transistor tidak pernah mencapai terlalu dalam ke dalam kondisi saturasi sehingga berubah OFF sangat cepat dan menurunkan tunda propagasi sampai 3 ns, menggunakan resistor kecil dan disipasi daya naik sampai 23 mW.

Gambar 18. Koneksi diode antara basis dan kolektor (Schottky).

Page 86: Teknik Digital

Seri 74LS00 (Low Power Schottky) merupakan pengembangan kombinasi dari tipe L dan S sehingga menjadi LS yaitu dengan cara menggunakan resistan cukup besar sehingga disipasi daya hanya 2 mW dan sebagai akibat tunda propagasi naik sampai 9,5 ns yang sama dengan seri 7400. Secara teknis disipasi daya turun dan tunda propagasi menyamai seri 7400 dimana biaya untuk itu turun dan hal ini menjadi produk unggulan untuk TTL.

Tabel berikut merupakan nilai perbandingan karakteristik seri TTL.

c. Pembebanan pada TTL

Dalam pembebanan sistem digital harus diperhatikan bagaimana menentukan dan menggunakan faktor pembebanan (fanout) atau kemampuan mengendalikan suatu rangkaian. Dari gambar 19 memperlihatkan gambaran tentang pembebanan sebuah output TTL berlogika 0 dibebani beberapa input TTL, dengan Q4 ON maka rangkaian aktif sebagai arus sinking untuk semua arus balik (IIL) dari setiap input. Oleh karena Q4 pada kondisi saturasi maka resistansi kolektor-emitor adalah 0 sehingga tegangan pada output VOL = 0 V untuk lebih sederhana dalam perencanaan rangkaian TTL, perusahaan manufaktur menjalankan standar faktor pembebanan input dan output sehubungan dengan arus. Arus yang mengalir secara keseluruhan berhubungan dengan faktor pembebanan disebut dengan unit beban (unit Load) (UL) dan ditentukan sebagai berikut:

1 UL = 40 uA pada kondisi High

1 UL = 1,6 mA pada kondisi Low

Sesuai dengan gambar 19 maka dapat kita tentukan besar arus output adalah:

IOL = IIL + IIL

faktor pembebanan = mA6,1

IOL

Page 87: Teknik Digital

Gambar 19. Pembebanan pada output TTL

d. Operasi Rangkaian Output Logika

Pada prakteknya rangkaian digital selalu muncul pertanyaan utnuk menghubungkannya dengan output LED atau rangkaian lain. Permasalahan yang muncul bahwa permintaan arus listrik untuk output tersebut cukup besar misalnya LED memerlukan arus antara 10 mA s/d 15 mA, sedangkan pada IC 74HC umumnya hanya mampu memberikan arus 4 MA.

Untuk itu diperlukan pemasangan buffer yang fungsinya untuk meningkatkan kemampuan memberikan arus pada beban output, contoh berikut kita gunakan buffer dari IC 7407 yang memiliki kemampuan VOH(maks)= 30 Volt dan IOL(maks) = 40 MA.`

Gambar 20. Bufer menggunakan 7404

Page 88: Teknik Digital

e. Output Kolektor terbuka

Beberapa decoder seperti TTL 7445 memiliki output kolektor terbuka (Open-Collector-Output), untuk tipe ini setiap saluran outputnya pada kondisi normal adalah Off yaitu antara ground dan output memiliki resistansi yang tinggi, resistansi tersebut akan berubah rendah artinya ON bilamana ada signal input dari dekoder.

Output kolektor terbuka dibuat dengan tujuan untuk operasi dengan arus dan tegangan yang lebih besar dari operasi TTL. Sebagai contoh 7445 dapat melewatkan arus sampai dengan 80 mA pada kondisi Low dan sampai 30 volt pada kondisi High, sehingga sangat cocok untuk lasung digunakan sebagai pengendali beban seperti relay, lampu indicator.

Pada gambar 21. memberikan ilustrasi penyambungan output pada relay 12 volt – 500 ohm dengan tegangan catu 12 volt, bila transistor Off maka tidak ada aliran listrik pada relay dan tegangan pada kolektor 12 volt. Bila output transistor ON maka arus sebesar 24 mA mengalir dari +12 volt ke ground melalui kolektor dan tegangannya mendekati 0 volt.

Gambar 21. Kolektor terbuka pada TTL

Pada TTL sering juga kita kenal buffer dengan Open Kolektor, pada gambar berikut dapat kita lihat bahwa emitor disambungkan pada ground dan kolektor dibiarkan tetap terbuka. Kita lihat sekarang pada rangkaian gerbang AND yang menggunakan TTL dan CMOS, secara prinsip keduanya sama yaitu fungsi AND. Secara rangkaian ada perbedaan dalam penggunaan bahan TTL dengan transistor bipolar dan CMOS dengan transistorCMOS.

a. Simbol dan tabel kebenaran b. Arus output Gerbang AND TTL

Gambar 22. Gerbang AND TTL

Page 89: Teknik Digital

f. Operasi rangkaian Tristate

Dalam rangkain digital yang lebih komplek, misalnya untuk komputer, video, kamera dan peralatan elektronika lainnya banyak dijumpai system yang dikenal dengan system data bus. Sistem tersebut memiliki trafik signal begitu rumitnya dan antara satu signal dengan signal lainya tidak boleh saling tabrakan yang menyebabkan rangkaian tidak bekerja sebagaimana mestinya, untuk itu diperlukan rangkaian pengendali signal yang sering disebut dengan Trisatate.

Gambar 23. Kondisi logika Tristate

Dari tabel terlihat bahwa input akan diteruskan ke output artinya X = A bilamana enable berlogika 0 dan apabila enable berlogika 1 maka output x akan pada kondisi High. Berikut merupakan table kebenaran trisate dan juga sebuah IC yang didalamnya terdapat 4(empat) tristate buffer.

Gambar 24. Tristate buffer IC- 74LS126.

Berikut juga merupakan contoh koneksi dua signal A dan signal B yang harus diumpankan pada saluran bus melalui Tristate bufer, untuk itu diperlukan pengendali C dan inverting dari C agar kedua signal tidak terjadi tabrakan pada saluran bus.

Gambar 25. Aplikasi Tristate.

Page 90: Teknik Digital

g. Emitter Coupled Logic (ECL).

Pada ECL menerapkan rangkaian differensial Amplifier yang dibangun dari TTL, dimana harga output proporsional terhadap perbedaan kedua inputnya (v1 dan V2). Pada gambar berikut menunjukan konstruksi ECL, dan dari konfigurasi ini saat V1=V2 maka terjadi kondisi simetri yaitu arus Ic adalah sama. Jika V1 > V2 misal beda 0,1 volt, maka Q1 akan on dan Q2 akan off, sedangkan saat V1<V2 misal 0,1 volt akan terjadi Q1 menjadi off dan Q2 akan on.

Transfer karakteristik rangkaian ditunjukan pada gambar 26 b., dimana dianggap secara esensial Ie tetap dan arus ini dihasilkan dari pergantian pensaklaran Q1 ke Q2 atau sebaliknya yaitu saat V1-V2 sama dengan 0,1 volt ke atas. V2 merupakan tegangan referensi (Vref) yaitu diberikan mulai 0,1 volt ke bawah. Dua keadaan logika dapat kita temui yaitu saat Q2 off maka Vo = Vcc, dan saat Q2 on besar Vo = Vcc – Ic. Rc = 0 volt. Pada gambar 26 c. menunjukan ECL dengan 2 input dan 2 output dimana Y merupakan output OR dan X merupakan output dari NOR.

a. Penguat differensial b. Karakteristik transfer

c. ECL dengan 2 input.

Gambar 26. Rangkaian ECL.

Page 91: Teknik Digital

3. Operasi Rangkaian Logika MOSFET

a. Dasar Pensaklaran Pada MOSFET

Gambar 26 mengilustrasikan simbol MOSFET tipe N dan tipe P, prinsip kerjanya sebagai transistor adalah dengan memanfaatkan efek medan antara dua keping bahan MOS (Metal Oxide Semiconductor). Alasan penggunaan MOSFET dalam IC digital adalah relatif sederhana dibanding TTL, tidak mahal dalam fabrikasi dan dalam fabrikasi kompleksitasnya sepertiga dari TTL , ukuran yang relatif kecil dan disipasi daya listrik sangat kecil.

IC digital MOS normalnya tidak membutuhkan resistor seperti yang terjadi pada bipolar transistor dan perbandingan penggunaan ruang dalam chip adalah 1 mil persegi untuk setiap chip dan pada bipolar transistor memerlukan ruang kira-kira 50 mil persegi. Dengan demikian dalam satu IC akan dapat berisi chip lebih banyak MOS dibanding IC yang dibuat dari bipolar transistor, kelemahan MOS dibanding Bipolar adalah dalam kecepatan operasi yaitu MOS relatif lebih lambat dari bipolar transistor.

a. Proses pembentukan MOS depletion b. enhancement

c. Karakteristik N-Kanal

Gambar 27. Pembentukan Depletion dan Karakteristik N-Kanal MOS

Page 92: Teknik Digital

Gambar 28. Simbol MOSFET kanal N dan kanal P

Terdapat dua katagori MOS secara umum yaitu tipe depletion dan tipe enhancement (lihat pada pengenalan komponen elektronika). Pada gambar 28 memberikan gambaran pensaklaran pada MOSFET kanal N saluran drain selalu dihubung lebih positip dibanding source dan substrate juga dihubung ke source, gate diberikan tegangan VGS sebagai saluran input dari MOSFET yang mengendalikan besar-kecil arus yang mengalir dari drain ke source sehingga dapat menentukan apakah MOSFET dalam kondisi ON atau dalam kondisi OFF. Disini berlaku bila VGS = 0 volt atau negatip maka MOSFET dalam kondisi OFF dan resistansi antara drain dan source 1010Ω, dan bila VGS bernilai relatif positip terhadap source misal +5volt maka MOSFET akan ON dan terjadi konduksi antara drain dengan source dengan nilai resistansi 1000 Ω.

Gambar 29. Kondisi pensaklaran pada MOSFET-kanal N (b) OFF dan (c) ON

Page 93: Teknik Digital

b. NMOS logika

a. NMOS gerbang NOR

b. NMOS gerbang NAND

Gambar 30. NMOS pada gerbang dasar

Gambar 30 menampilkan penggunaan NMOS pada gerbang dasar, pada rangkaian terdapat dua input A dan B dimana saat input berlogika 0 maka Q akan OFF dan saat input berlogika 1 Q akan ON. Untuk NAND saat A dan B berlogika 0 berarti Q2 dan Q3 dalam kondisi OFF, oleh karena Q1 selalu ON maka pada kondisi ini Q1 berfungsi sebagai beban resistor sehingga arus listrik mengalir dari sumber melalui FET Q1 lalu ke output X dan akan berlogika 1. Kebalikan dari hal tersebut bila FET Q2 dan Q3 dalam kondisi ON maka output X akan berlogika 0.

Page 94: Teknik Digital

Untuk gerbang NOR secara prinsip sama dengan gerbang NAND hanya FET Q2 dan Q3 dihubungkan secara paralel sehingga membentuk perilaku gerbang NOR.

c. PMOS Logika

Secara prinsip cara kerja sama dengan NMOS perbedaan hanya pada pemberian VGS, yaitu untuk tipe P tegangan diberikan 0 volt agar tidak ada konduksi (OFF) dan diberikan lebih negatip misal –5 volt agar terjadi konduksi antara drain dan source (ON).

Gambar 31. Proses pembentukan kanal P MOS dan karakteristiknya

d. CMOS Logika

Merupakan gabungan kanal P dan kanal N yang membentuk seperti rangkaian pada gambar 32 berikut ini:

Page 95: Teknik Digital

Gambar 32. (a) internal phisik CMOS, (b) simbol CMOS

Operasi logika adalah tergantung dari kondisi input, bila Input bertegangan 0 volt maka kanal P akan konduksi dan kanal N tidak konduksi sehingga output akan berlogika 1. Sedangan saat input bertegangan +5 volt maka kanal P akan tidak konduksi dan kanal N akan terjadi konduksi sehingga output akan berlogika 0.

Disipasi daya untuk CMOS antara 10-20 nW untuk setiap gerbang dengan VDD = 10 volt atau untuk VDD = 5 volt, level tegangan logik 0 adalah 0 volt dan untuk logika 1 sebesar VDD . Dimana tegangan VDD berkisar antara 3 volt sampai dengan 15 volt, tegangan input low maksimum VIL = 30%xVDD dan tegangan input high minimum VIH = 70%xVDD. Disipasi daya pada MOS selalu berhubungan dengan frekuensi, misal pada frekuensi 100Hz disipasi 0,1mW dan pada 1MHz disipasi naik menjadi 1mW. Faktor pembebanan (fanout) untuk MOS sangat dibatasi oleh nilai kapasitansi input yang dikendalikan oleh output MOS.

Sebagai ilustrasi gerbang CMOS memiliki tunda propagasi (tPD) = 30 ns, tunda propagasi ini akan bertambah 3 ns disebabkan setiap input memiliki 5 pf yang harus dikendalikan oleh output gerbang didepannya. Jadi bila diijinkan tunda propagasi sampai dengan 180 ns maka besar faktor pembebanan (fanout) kemungkinan adalah 50. Oleh karena resistansi output CMOS kecil, maka faktor pembebanan lebih besar dibanding kanal P atau kanal N.

Noise margin untuk CMOS baik kondisi high atau kondisi low adalah sama yaitu 30% dari VDD dan nilai ini relatif besar dibanding yang dimiliki oleh jenis TTL. Semua input harus dihubung dengan level tegangan tertentu, hal ini bisa disambungkan ke ground untuk level 0 dan VDD untuk level 1.

Seperti halnya pada kolektor terbuka maka pada MOS juga terdapat Output Drain terbuka hal ini dilakukan dengan tujuan untuk operasi dengan arus dan tegangan yang lebih besar yaitu dapat melewatkan arus sampai dengan 80 mA pada kondisi Low dan sampai 30 volt pada kondisi High, sehingga sangat cocok untuk lasung digunakan sebagai pengendali beban seperti relay, lampu indicator.

e. Gerbang AND CMOS

Berikut merupakan gambar internal gerbang AND dengan CMOS, dimana didalamnya terdapat kombinasi kanl PMOS dan Kanal N MOS yang membentuk suatu gerbang logika AND.

Page 96: Teknik Digital

a. Simbol dan level tegangan b. Arus output Gerbang AND dengan CMOS

Gambar 33. Gerbang AND CMOS

4. Interface CMOS Dengan TTL

Banyak sistem digital mengabungkan kedua keluarga tersebut yaitu TTL dan CMOS untuk mencapai penampilan kinerja yang optimum, misal dalam sistem dibutuhkan kinerja rangkaian berkecepatan rendah dan untuk mereduksi disipasi daya, sedangkan pada bagian lain dari sistem diperlukan suatu kecepatan yang tinggi dalam operasinya maka dipasangkan padanya TTL. Untuk itu uraian berikut menjelaskan bagaimana sistem interface diantara TTL dan CMOS atau sebaliknya.

a. CMOS mengendalikan TTL

Gambar 34 menampilkan ilustrasi gerbang CMOS yang mengendalikan input TTL, pada kondisi dimana output CMOS High mungkin tidak ada masalah sepanjang VOH~ VDD = +5V karena masih dapat diterima oleh input TTL. Arus input TTL pada kondisi High maksimum 40 uA sehingga masih memungkinkan untuk diberikan oleh output CMOS melalui RON pada kanal P. Akan tetapi pada saat output CMOS Low permasalahan muncul karena IIL TTL besarnya 1,6 mA yang harus balik ke output CMOS, dengan kata lain ouput CMOS harus menerima arus singk ke ground melalui RON pada kanal N. Beberapa N kanal CMOS memiliki RON variasi nilai yaitu antara 100 Ω - 5kΩ dan dengan mengalirnya arus 1,6 mA akan membuat tegangan pada output terlalu tinggi untuk dapat memberikan tegangan VIL (logika 0) pada input TTL.

Taruhlah tegangan tersebut 0,8 volt sedangkan untuk TTL logika 0 adalah 0,4 volt yang masih dalam daerah noise margin, oleh karena itu logika 0 pada input TTL harus pada 0,4 volt atau dibawah 0,4 volt hal ini disebabkan arus singking 1,6 mA. Pada kenyataannya beberapa output CMOS dirancang untuk arus singking diatas 6 mA yaitu dapat

Page 97: Teknik Digital

mengendalikan input 3 atau 4 gerbang TTL, untuk jenis ini rangkaian CMOS disebut sebagai buffer dan dapat digunakan antara CMOS konvensional dan beberapa TTL. CMOS dapat digunakan untuk mengendalikan input TTL seri 7400 dan 74LS00 yang pada dasarnya IC ini memerlukan arus input rendah.

Gambar 34. CMOS mengendalikan TTL

Dalam data karakteristik IDN yaitu arus output N-kanal besarnya sama dengan arus singking pada kondisi Low pada VDD = 5 V dan V0 = 0,4 V pada suhu 25oC, terlihat bahwa besar arus adalah 1,5 mA yang berarti mampu mengendalikan standar beban TTL (1,6 mA). Nilai minimum IDN ditentukan 0,4 mA oleh beberapa industri pembuat CMOS, kalau demikian halnya maka CMOS tidak dapat mengendalikan TTL sama sekali. Kita lihat sebuah 7400 memiliki faktor pembebanan 0,25 UL pada kondisi Low, hal ini mengindikasikan IIL adalah 0,25 x 1,6 = 0,4 mA dengan demikian 4001 hanya dapat mengendalikan 1 input gerbang.

b. TTL Mengendalikan CMOS

Ketika TTL digunakan untuk mengendalikan CMOS pada kondisi output TTL Low tidak terjadi masalah selama tegangan output VOL = 0,4 volt dan input CMOS dapat menerima itu sebagai input Low karena batas tertinggi adalah 1,5 volt untuk Low. Akan tetapi saat kondisi output berlogika High terjadi masalah karena tegangan output TTL bukan +5 volt melainkan 3,6 volt yang nilai ini terlalu kecil untuk input CMOS karena masih harus diperhitungkan noise margin sebesar 0,1 volt. Untuk mengatasi hal tersebut biasanya dipasang sebuah resistor pull-up sehingga dapat memberikan dampak meningkatkan tegangan output TTL mendekati +5 volt sehingga mampu memberikan logik High pada CMOS.

Berikut merupakan gambar TTL mengendalikan input gerbang CMOS dengan memasangkan resistor pull-up pada input gerbang CMOS.

Page 98: Teknik Digital

Gambar 35. Pemasangan resistor pull-up pada rangkaian TTL ->CMOS

c. Gerbang Transmisi CMOS

Rangkaian khusus CMOS dimana di dalamnya tidak dipasangkan TTL, rangkaian ini disebut dengan Gerbang Transmisi atau saklar bilateral yang operasinya secara esensial sebagai single pole, single throw yang pensaklaran dikendalikan oleh sebuah input logik. Sinyal dapat dilalukan pada dua arah baik maju atau mundur dan sangat baik untuk sinyal analog maupun sinyal digital.

Pada gambar berikut mengilustrasikan sebuah saklar bilateral yang berisi sebuah P-MOSFET dan N-MOSFET yang disambung paralel sehingga kedua polaritas tegangan dapat dilalukan yang dikendalikan melalui sebuah input yang dibalikan.

Gambar 36. Saklar bilateral CMOS.

Page 99: Teknik Digital

Operasi Multivibrator

1. Multivibrator Astabil Multivibrator ini berosilasi antara kondisi semi stabil yang ditentukan oleh nilai kapasitor dan resistor, oleh karena itu tidak pernah pada kondisi stabil. Rangkaian ini akan flip pada satu kondisi tertentu ke kondisi lain dan akan flop lagi kekondisi semula tanpa adanya pulsa triger luar, hal ini akan berlangsung terus sepanjang waktu diberikan catu daya padanya.

Gambar 37. Rangkaian Multivibrator Astabil

Periode pulsa yang dihasilkan rangkaian ini tergantung pada besar-kecilnya resistor dan kapasitor yang dipasangkan, berikut merupakan rumus untuk menghitung frekuensi yang dihasilkan rangkaian menggunakan Ic-LM555:

)RB2RA(1C

49,1f+

=

Contoh: Rangkaian dilengkapi dengan RA = 10K, RB= 50K dan C1 = 0,01 µF. Hitung f?

Jawab:

)RB2RA(1C49,1f+

=

)K100K10(uFx01,049,1f

+=

KHz35,1f =

Page 100: Teknik Digital

2. Multivibrator Monostabil Multivibrator ini mempunyai hanya satu kondisi stabil, pada rangkaian ini diperlukan adanya pulsa trigger dan konstanta waktu pulsa output ditentukan oleh resistor Rx dan kapasitor Cx:

Gambar 38. Multivibrator Monostabil

Berdasar gambar diatas pulsa 5 volt berfungsi sebagai inisialisasi monostabil, dengan adanya pulsa tersebut output Q berubah kondisi dari Low menjadi High. Pada saat kondisi output Q = High, maka proses pengisian kapasitor dilakukan melalui Rx dan saat kapasitor penuh output Q kembali ke Low.

Untuk menghitung kondisi High output Q dapat dilakukan dengan menggunakan rumus:

tw = 0,45 . Rx . Cx

tw = 0,45 . 100K . 10uF (misal Rx=100K dan Cx=10uF)

tw = 0,45 detik

Nilai factor konstanta 0,45 tergantung pada tipe IC yang dipakai untuk itu perlu melihat data book IC misal untuk SN 74121 harga konstantanya adalah 0,70, untuk Multivibrator Monostabil sering disebut dengan istilah penangkap pulse (pulse cather) atau sering juga disebut dengan One Shot.

3. Multivibrator Bistabil Multivibrator ini memiliki 2 output yang masing-masing memiliki kondisi stabil, satu dalam kondisi Low maka output lainnya berlogika High. Kedua output tersebut dalam teknik digital sering ditandai dengan notasi Q dan Q , berikut merupakan tabel kebenaran dari multivibrator ini:

Kondisi Q Q

1 1 0

2 0 1

Page 101: Teknik Digital

Rangkaian dapat dibangun dari RS-FF, JK-FF, T-FF, untuk saat sebagai contoh digunakan bistabil dari RS flip-flop yang diaplikasikan pada saklar debounce, yang berfungsi untuk menghilangkan dampak jelek pada pensaklaran mekanik.

Bila kita perhatikan kontak mekanik pada saat ON dan OFF masih terjadi getaran yang akan berhenti setelah beberapa saat, oleh karena itu bentuk timing diagram antara yang seharusnya dan kenyataan yang diperoleh selalu tidak sama (lihat gambar 39).

a. Kontak mekanik b. Timing diagram

Gambar 39. Debounce pada kontak mekanik

Untuk menghilangkan pengaruh kontak mekanik dalam rangkaian digital sering digunakan rangkaian debouncing, pada rangkaian ini hanya sekali ON/OFF kontak mekanik yang direspon oleh rangkaian sehingga pulsa yang dihasilkan sesuai dengan yang dibutuhkan.

a. Rangkaian debouncing b. Timing diagram debouncing

Gambar 40. Saklar debouncing

Page 102: Teknik Digital
Pencarian Gangguan Dengan Perangkat Uji

1. Logic Probe

Dalam sistem logika modern, sinyal dalam rangkaian biasanya ditentukan dalam dua kondisi yaitu logika 0 atau logika 1 dan seperti pada level tegangan logika maka logika 0 bertegangan hampir mendekati 0 volt serta untuk kondisi logika 1 bertegangan antara +4 volt sampai dengan +5 volt. Sebenarnya dapat kita gunakan untuk menyatakan kondisi sebuah sinyal berlogika 0 atau logika 1 yaitu melalui pengukuran tegangannya, akan tetapi sinyal dalam sistem logika dideteksi atau diidentifikasi hanya berdasar pada kondisi logika 0 atau 1 sehingga pada umumnya digunakan sebuah alat yang disebut dengan Logic probe.

Peralatan ini sangat membantu dalam kegiatan pencarian sumber gangguan pada sistem rangkaian logika, karena dapat digunakan untuk mendeteksi sinyal pada tempat-tempat yang sulit dilakukan dengan multimeter atau CRO dan alat ini sangat sederhana. Pada gambar berikut digambarkan rangkaian logic probe dimana input diumpankan pada tahanan depan sebesar 22k dan diteruskan pada basis transistor BC 108, melalui basis inilah transistor BC 108 dikendalikan yaitu pada saat input menerima sinyal dengan logika 1 maka transistor akan ON. Dengan ON nya transistor terdapat arus yang mengalir dari + 5 volt melalui resistor 180 ohm ke LED, kemudian ke ground melalui kolektor-emitor BC 108 yang saat itu sedang ON sehingga lampu LED akan terlihat menyala.

Dengan menyalanya LED tersebut mengindikasikan bahwa sinyal yang sedang diuji dalam rangkaian berlogika 1, hal sebaliknya terjadi saat sinyal berlogika 0 maka tidak ada arus yang mengalir ke basis BC 108 sehingga transistor pada kondisi OFF. Pada kondisi tersebut resistansi antara emitor-kolektor BC 108 sangat tinggi oleh karena itu tidak ada arus yang mengalir pada LED dan akibatnya LED mati yang berarti sinyal berlogika 0.

a. Logic probe b. Gambar rangkaian sederhana logic probe Gambar 41. Logic probe

Untuk menggunakan logic probe adalah dengan menghubungkan konektor +5volt dan ground dan ujung pensil ditempelkan pada jalur dimana sinyal melaluinya, sehingga dapat dipastikan ada tidaknya sinyal atau kondisi logika dari sinyal apakah logika 0 atau 1.

Berikut adalah gambar rangkaian penempatan ujung pensil logic probe pada titik pendeteksian sinyal dalam suatu sistem rangkaian. Pada rangkaian ini dibagi menjadi 3 blok yaitu meliputi multivibrator, penghitung, peyimpan D-FF dan pengalih dari biner ke desimal.

Page 103: Teknik Digital

Untuk mendeteksi sinyal pada rangkaian logic probe dapat diletakan antara setiap blok, hal ini dimaksudkan untuk mendeteksi ada tidaknya sinyal.

Gambar 42. Penempatan Logic probe untuk mendeteksi sinyal pada sistem rangkaian.

2. Penggunaan Logic Pulser

Kebalikan dari logic probe adalah logic pulser yaitu bukan mendeteksi sinyal pada sistem rangkaian tetapi berfungsi sebagai pemberi sinyal, misal dalam rangkaian diatas terjadi gangguan sistem kerjanya maka cara yang paling tepat adalah mendeteksi dimana sinyal tersebut mulai tidak bekerja. Kita ambil contoh dalam sistem ternyata tidak ada sinyal clock yang masuk pada penghitung 74HC190, untuk itu kita lepas sambungan ke saluran clock utama dan kita suntikan logic pulser pada clock input penghitung 74HC190. Ternyata dengan pemberian pulsa sistem rangkaian dapat bekerja lagi dengan baik, maka kesimpulan kita kerusakan adalah pada clock input.

Berikut contoh gambar logic pulser yang banyak dipasarkan untuk memenuhi kebutuhan teknisi dalam mencari gangguan pada suatu sistem rangkaian.

Gambar 43. TTL/C-MOS Logic Pulser.

Page 104: Teknik Digital

3. Penggunaan Multimeter

Pada prinsipnya penggunaan multimeter baik analog maupun digital adalah sama dengan saat kita mengukur tegangan atau arus pada rangkaian listrik atau elektronika dasar, dalam sistem rangkaian digital penggunaan multimeter ditujuan untuk mengukur tegangan dan arus. Disamping itu juga dapat dimanfaatkan untuk menguji resistor atau kapasitor, dioda, LED atau transistor yang terpasang pada sistem rangkaian.

Yang perlu diingat terdapat 3 hal yang dapat digunakan sebagai acuan dalam megoperasikan multimeter untuk mencari gangguan dalam sistem rangkaian digital, yaitu:

• Mengukur menggunakan Ohmmeter, pada saat pengukuran ini semua sumber tegangan listrik baik dari sumber DC atau sumber AC harus dimatikan, gunakan skala dengan pembacaan yang paling mendekati nilai sebenarnya.

• Mengukur menggunakan Ampermeter, meter dihubungkan secara seri dengan beban yang dilewati arus, gunakan skala yang aman seperti pada pengukuran besar listrik pada modul sebelumnya.

• Menggunakan Voltmeter, meter dihubungkan secara paralel dengan beban atau obyek yang diukur pada pengukuran ini juga gunakan kaidah pengukuran tegangan yang telah dipelajari sebelumnya.

Gambar berikut menunjukan tiga dasar pengukuran menggunakan multimeter.

a. Pengukuran Ohm (sumber harus diputus dari rangkaian)

Page 105: Teknik Digital

b. Pengukuran arus

c. Pengukuran tegangan

Gambar 44. Rangkaian dasar pengukuran dengan multimeter

4. Osiloskop (CRO)

Untuk mengukur dan mengidentifikasi sinyal yang bekerja pada sebuah sistem rangkaian digital khususnya berhubungan dengan frekuensi, besar tegangan, sistem clock dan membandingkan perilaku dua sinyal maka dibutuhkan sebuah osiloskop. Gambar berikut mengilustrasikan tampak depan sebuah osiloskop, adapun penggunaannya seperti yang telah dipelajari pada penggunaan alat ukur listrik/elektronik. Yang terpenting disini adalah pemanfaatan CRO untuk mencari gangguan pada sebuah sistem rangkaian.

Page 106: Teknik Digital

Gambar 45. Tampak depan CRO

Misal terjadi permasalahan pada gambar sistem rangkaian diatas (gambar 42) yaitu pengalih biner ke desimal tidak dapat bekerja dengan baik, maka CRO dapat kita gunakan untuk mengukur sinyal input clock pada kanal 1 dan kanal 2 dari CRO kita gunakan untuk mengukur setiap output penghitung. Dari hasil tampilan kita buat gambar hasil pengukuran dan bisa kita gunakan untuk mendiagnosis bahwa rangkaian berfungsi dengan baik, bila pada blok ini dapat bekerja dengan baik maka langkah berikutnya kita uji pada input dan output pengalih biner ke BCD dan tampilan 7 segmen. Dengan demikian kita dapat menemukan gangguan yang mungki ada pada setiap blok rangkaian, oleh karena itu perlu pengetahuan tentang prinsip kerja setiap blok pada sistem rangkaian.