Download - Perancangan Teknik Digital

Transcript
Page 1: Perancangan Teknik Digital

KATA PENGANTAR

Teknik Digital telah berkembang dengan sangat pesat selama lebih kurang tiga dasawarsa terakhir. Teknik digital ini didasarkan pada rangkaian logika yang merupakan pengembangan dari rangkaian switching yang mengunakan sakelar dan relai. Pada rangkaian logika sakelar dan relai digantikan oleh gerbang logika. Setiap gerbang ini mempunyai persamaan matematis sehingga suatu rangkaian logika dapat dinyatakan dengan persamaan matematis. Hal ini memberikan kemudahan dalam menyederhanakan rangkaian. Penyederhanaaan rangkaian perlu dilakukan untuk mengurangi jumlah gerbang atau komponen karena semakin sedikit jumlah komponen, semakin andal suatu rangkaian. Yang dimaksud andal disini ialah kecilnya kemungkinan untuk rusak dan kemudahan dalam perawatan.

Jumlah piranti yang dapat digunakan untuk sistem digital saat ini cukup banyak sehingga memberi keleluasaan bagi seorang perancang untuk memilih piranti yang sesuai dengan kebutuhannya. Masing-masing piranti ini mempunyai kelebihan dan kekurangan sehingga untuk mendapatkan hasil yang terbaik, dapat digabungkan beberapa jenis piranti dari keluarga yang berbeda. Dalam penggabungan ini perlu dipenuhi beberapa persyaratan, antara lain ialah penyesuaian aras tegangan masukan / keluaran dan masalah-masalah yang berkaitan dengan pembebanan. Untuk itu perlu diketahui sifat-sifat dari keluarga-keluarga piranti logika yang akan digabungkan.

Dengan munculnya mikroprosesor dan mikrokontroler, maka sistem digital yang rumit umumnya diimplementasikan dengan menggunakan mikroprosesor atau mikrokontroler. Keuntungkan dari penggunaan mikroprosesor atau mikrokontroler adalah kemudahan untuk mengubah perilaku sistem. Perubahan ini umumnya hanya membutuhkan perubahan pada perangkat lunaknya. Jika diimplentasikan dengan rangkaian logika maka untuk mengubah perilaku sistem, rangkaiannya perlu diubah. Hal ini merupakan kerja yang cukup berat karena umumnya rangkaian harus dirancang ulang. Walaupun demikian, untuk sistem digital yang sederhana, rangkaian logika masih tetap banyak digunakan.

Buku ini mencoba membahas tentang teknik Perancangan Sistem Digital yang merupakan merupakan salah satu matakuliah wajib di Departemen Teknik Elektro kosentrasi Teknik Komputer. Pembahasan diawali dengan tinjauan ulang Teknik Digital secara ringkas dan dilanjut dengan pengenalan keluarga-keluarga piranti logika. Selanjutnya dibahas tentang masalah pembebanan yang dilanjutkan dengan teknik-teknik pengantaraan antar keluarga logika. Pembahasan dilanjutkan dengan perancangan rangkaian kombinasi dan rangkaian berurut. Selanjutnya dibahas tentang pembangkitan pulsa clock. Pada bagian akhir dari buku ini diberikan beberapa contoh kasus yang cukup sulit dalam perancangan.

Akhir kata, penulis menyadari sepenuhnya bahwa buku ini masih jauh dari sempurna. Untuk itu penulis sangat mengharapkan berbagai kritik dan saran dari pembaca agar buku ini dapat disempurnakan dimasa mendatang.

Medan, Desember 2006

T. Ahri Bahriun / Suharwinto

Page 2: Perancangan Teknik Digital

DAFTAR ISI

BAB I. PENDAHULUAN....................................................................................................................... 3

I.1. Rangkaian dasar.............................................................................................................................. 4

I.2. Pemilihan Komponen...................................................................................................................... 5

I.2.1. Diskrit............................................................................................................................................ 5

I.2.2. Mikroprosesor............................................................................................................................... 6

I.3. Tahapan perancangan.....................................................................................................................6

I.4. Permasalahan pada perancangan..................................................................................................7

BAB 2. DASAR METODA SWITCHING................................................................................................8

2.1. Rangkaian Logika.......................................................................................................................... 8

2.2. Rangkaian OR................................................................................................................................ 8

2.3. Rangkaian AND............................................................................................................................. 9

2.4. Rangkaian NOT........................................................................................................................... 10

2.5. Rangkaian NOR........................................................................................................................... 11

2.6. Rangkaian NAND........................................................................................................................ 11

2.7. Rangkaian EXOR........................................................................................................................ 12

2.8. Rangkaian EXNOR..................................................................................................................... 13

BAB 3. KELUARGA LOGIKA..............................................................................................................15

3.1. Transistor sebagai sakelar...........................................................................................................16

3.2. Direct Coupled Transistor Logic (DCTL)......................................................................................17

3.3. Resistor Transistor Logic.............................................................................................................18

3.4. Resistor Capasitor Transistor Logic (RCTL)................................................................................19

3.6. Transistor Transistor Logic (TTL).................................................................................................20

3.6.1. Jenis-jenis TTL......................................................................................................................... 22

3.7. Emitter Coupled Logic (ECL).......................................................................................................25

3.8. Integrated Injection Logic (I2L).....................................................................................................28

3.9. PMOS dan NMOS....................................................................................................................... 29

3.10. CMOS (Complementary Metal Oxide Semiconductor)...............................................................30

3.11. Logika Tiga Keadaan (Three State Logic).................................................................................33

3.12. Beberapa Pertimbangan Praktis................................................................................................35

BAB 4. PEMBEBANAN....................................................................................................................... 37

4.1. Pembebanan pada keluarga TTL.................................................................................................37

4.1.1. Fan-in dan Fan-out....................................................................................................................38

4.1.2. Terminasi masukan yang tidak digunakan.................................................................................38

4.1.3. Penggabungan seri TTL yang berbeda......................................................................................40

Page 3: Perancangan Teknik Digital

4.2. Pembebanan pada keluarga CMOS............................................................................................42

4.2.1. Terminasi masukan tak terpakai...............................................................................................43

BAB 5. ANTARMUKA......................................................................................................................... 45

5.1. Antarmuka TTL dan DTL.............................................................................................................45

5.2. Antarmuka TTL dan ECL.............................................................................................................45

5.2.1. TTL ke ECL............................................................................................................................... 45

5.2.2. ECL ke TTL............................................................................................................................... 46

5.3. TTL ke CTL.................................................................................................................................. 46

5.4. Antarmuka TTL dengan CMOS...................................................................................................47

5.4.1. CMOS ke TTL............................................................................................................................ 47

5.4.2. TTL ke CMOS............................................................................................................................ 49

BAB 6. PERANCANGAN RANGKAIAN KOMBINASI.........................................................................52

6.1. Pemilihan Komponen................................................................................................................... 52

6.2. Pembuatan spesifikasi................................................................................................................. 54

6.3. Tundaan Waktu........................................................................................................................... 58

6.4. Bentuk Rangkaian....................................................................................................................... 59

BAB 7. PERANCANGAN RANGKAIAN BERURUT............................................................................61

7.1. Rancangan Pencacah..................................................................................................................61

7.1.1. Pencacah Naik.......................................................................................................................... 61

7.1.2. Pencacah Turun....................................................................................................................... 65

7.1.3. Pencacah Tak Berurutan..........................................................................................................66

7.1.4. Mesin Mealy dan mesin Moore.................................................................................................68

BAB 8. PEMBANGKITAN PULSA CLOCK.........................................................................................75

8.1. Pembangkitan pulsa dengan rangkaian debouncing...................................................................75

8.2. Pembangkitan pulsa dengan MMV..............................................................................................77

8.3. Rangkaian osilator....................................................................................................................... 79

8.3.1. Osilator RC............................................................................................................................... 79

8.3.2. Osilator Kristal.......................................................................................................................... 83

8.4. Pembagi Frekuensi...................................................................................................................... 85

BAB 9. PERAGA 7-SEGMEN.............................................................................................................89

9.1. Peraga LED................................................................................................................................. 90

9.2. Peraga LCD................................................................................................................................. 92

BAB 10. BEBERAPA CONTOH RANCANGAN..................................................................................94

10.1. Perancangan jam digital............................................................................................................94

10.1.1. Pencacah................................................................................................................................. 94

10.1.2. Rangkaian Basis Waktu..........................................................................................................97

10.1.3. Penyesuaian Waktu................................................................................................................97

10.1.4. Catu Daya............................................................................................................................. 102

10.2. Perancangan Alat Ukur Frekuensi...........................................................................................103

Page 4: Perancangan Teknik Digital

10.2.1. Rangkaian Pencacah, Penyangga, Dekoder dan Peraga.....................................................104

10.2.2. Rangkaian Basis Waktu........................................................................................................106

10.2.3. Rangkaian Logika Pengatur..................................................................................................107

10.2.4. Rangkaian Pengkondisi Sinyal.............................................................................................108

DAFTAR PUSTAKA........................................................................................................................... 110

KUMPULAN SOAL-SOAL..................................................................................................................111

Page 5: Perancangan Teknik Digital

BAB I. PENDAHULUANSistem digital merupakan salah satu sistem yang digunakan dalam pemrosesan sinyal atau data. Sebelum dimulainya era digital, pemrosesan sinyal atau data dilakukan dengan menggunakan sistem analog. Sistem ini menggunakan penguat linier sebagai komponen dasarnya. Penguat ini dirangkai sedemikian rupa sehingga memiliki fungsi tertentu, misalnya penjumlah, pengali, integrator, diferensiator dan lain sebagainya. Penguat linier ini memiliki beberapa kekurangan, antara lain ialah faktor penguatannya yang sangat tergantung pada parameter dari semikonduktor dan komponen eksternal yang digunakan serta suhu kerjanya. Keluaran dari suatu sistem analog umumnya berupa tegangan searah dan pembacaan nilai keluaran dilakukan dengan menggunakan alat ukur tegangan jenis kumparan bergerak (moving coil). Nilai keluaran dari suatu sistem analog bersifat kontinu sehingga mempunyai nilai antara (intermediate values) yang sangat banyak. Sebagai contoh, antara nilai 1 dan 2 terdapat nilai-nilai 1,001 sampai dengan 1,999. Oleh karena itu, pembacaan nilai keluaran dengan alat ukur analog seringkali memberikan hasil yang meragukan, dimana nilai 1,001 dengan 1,002 sangat sulit untuk dibedakan. Selain ketelitiannya yang kurang, kecepatan pengolahan sistem analog juga relatip rendah karena beberapa proses seperti proses integrasi dan diferensiasi umumnya dilakukan mengandalkan konstanta (time constant) dari tahanan dan kapasitor.

Sistem digital bekerja dengan prinsip yang jauh berbeda dari sistem analog. Pada sistem ini nilai keluaran yang dihasilkan adalah pasti, karena suatu nilai dinyatakan oleh sejumlah keluaran yang masing-masing hanya dapat memiliki dua keadaan, yaitu 0 (padam) atau 1 (menyala) tanpa ada nilai antara atau nilai tanggung. Kondisi 0 atau 1 dapat dinyatakan dengan sebuah lampu, relay atau tegangan.

Pada awalnya sistem digital hanya diterapkan pada komputer guna keperluan pengolahan data. Namun dengan berkembangnya teknologi, sistem ini diterapkan pada hampir semua bidang seperti teknik, kedokteran dan lain sebagainya. Mula-mula rangkaian dasar sistem digital seperti gerbang-gerbang dan flip-flop dibentuk dengan menggunakan komponen elektronika diskrit seperti tabung hampa. Selanjutnya dengan ditemukannya transistor, peran tabung hampa digantikan oleh transistor. Rangkaian dasar yang dibentuk, dirakit pada sebuah PCB (printed circuit board) dan dibuat berupa modul. Modul-modul ini dipasangkan pada suatu rak sehingga membentuk suatu subsistem dan gabungan dari sejumlah rak akan membentuk suatu sistem yang lengkap.

Munculnya IC (integrated circuit) memungkinkan orang untuk membuat rangkaian level bawah yang semakin lengkap. Oleh karena itu suatu PCB dapat terdiri dari sejumlah modul atau IC yang masing-masing merupakan suatu subsistem yang lengkap, seperti pencacah atau unit aritmatika.

Kemampuan orang membuat IC yang sangat kompleks tidak hanya berdampak pada menyusutnya ukuran rangkaian, tetapi juga pada kemampuan para perancang sistem digital. Jika tadinya para perancang harus merancang rangkaian dengan komponen diskrit dan harus berurusan dengan kestabilan bias dari transistor, maka sekarang para perancang ini lebih berfungsi sebagai system engineer yang tak perlu berurusan dengan hal-hal yang sangat mendetail. Ini berarti bahwa seorang perancang dapat merancang rangkaian yang jauh lebih rumit daripada sebelumnya, dalam waktu yang sama.

Rangkaian yang sangat kompleks ini adalah hasil daripada MSI (Medium Scale Integration) dan LSI (Large Scale Integration).

Saat ini IC digital telah digunakan secara meluas. Pada bagian mendatang akan dibahas sejumlah keluarga IC digital yang sering digunakan.

I.1. RANGKAIAN DASAR

Page 6: Perancangan Teknik Digital

Salah satu kegunaan dari suatu sistem digital seperti kalkulator, adalah untuk memanipulasi angka-angka. Sistem bilangan yang paling umum digunakan ialah sistem desimal, karena manusia memiliki 10 jari tangan sehingga terbiasa menghitung dengan bilangan dasar 10. Sistem desimal mengenal sepuluh bilangan yang dinyatakan dengan angka 0 sampai 9.

Persamaan umum dari suatu bilangan desimal adalah :

N = an-1.10n-1 + an-2.10n-2 + …+ a1.101 + a0.100 + a-1.10-1 + a-2.10-2 + …+ a-m.10-m

Sehingga 125 sebenarnya menyatakan

N = 1 x 102 + 2 x 101 + 5 x 100

= 100 + 20 + 5

Karena ada 10 nilai maka untuk merepresentasikan nilai-nilai ini dalam tegangan dibutuhkan 10 nilai tegangan yang berbeda. Gambar I.1 memperlihatkan suatu rangkaian penguat transistor yang dirangkai sebagai penguat emiter bersama (common emitter).

+10V

KELUARAN

MASUKAN

Gambar 1.1. Penguat transistor

Rangkaian ini dapat digunakan untuk merepresentasikan kesepuluh nilai keluaran, misalnya 0 Volt untuk nilai 0, 1 Volt untuk nilai 1 dan seterusnya hingga 9 Volt untuk nilai 9. Masing-masing nilai tegangan keluaran ini dapat dihasilkan jika penguat diberi nilai masukan tertentu.

Akan tetapi rangkaian ini mempunyai kekurangan, yaitu jika transistornya diganti maka untuk nilai masukan yang sama, kemungkinan akan diperoleh tegangan keluaran yang berbeda. Hal ini disebabkan karena perbedaan nilai parameter hFE dari transistor pengganti. Selain itu, tahanan yang digunakan harus tahanan yang presisi karena perubahan nilai tahanan akan mengubah tegangan keluaran.

Walaupun rangkaian telah menggunakan transistor dan tahanan pilihan namun tegangan keluaran masih dipengaruhi oleh suhu. Oleh karena itu rangkaian ini tidak dapat diandalkan untuk menghasilkan sepuluh nilai tegangan keluaran yang berbeda.

Untuk mengatasi masalah ini digunakan sistem bilangan lain, yaitu sistem bilangan Biner (Binary). Sistem ini hanya memiliki dua nilai yaitu 0 dan 1 sehingga hanya membutuhkan dua simbol untuk menyatakan kedua nilai tersebut. Dua nilai ini dapat dinyatakan dengan padam/menyalanya lampu, turun/naiknya suatu bendera atau atau bekerja/tidak suatu relai. Bentuk umum dari suatu bilangan Biner adalah :

N = an-1.2n-1 + an-2.2n-2 + …+ a1.21 + a0.20 + a-1.2-1 + a-2.2-2 + …+ a-m.2-m

Sebagai contoh, bilangan 25 dinyatakan sebagai

N = 11001

N = (1 x 24) + (1 x 23) + (0 x 22) + (0 x 21) + (1 x 20)

= 16 + 8 + 0 + 0 + 1

Page 7: Perancangan Teknik Digital

Jika menggunakan rangkaian pada Gambar I.1 maka penguat hanya harus menghasilkan dua nilai tegangan yang berbeda untuk menyatakan nilai 0 dan nilai 1. Untuk itu penguat dapat dioperasikan pada kedua daerah ekstrimnya, yaitu meyumbat (cut off) atau jenuh (saturate). Pada saat menyumbat :

IC = 0 sehingga VC = VCC

Pada saat jenuh :

IC = VCC/RC sehingga VC = 0

Untuk mengantisipasi toleransi komponen yang digunakan dapat diberlakukan ketentuan tertentu, misalnya semua tegangan yang bernilai kurang dari 3 Volt dianggap sebagai 0 dan semua tegangan yang bernilai lebih besar dari 7 Volt dianggap sebagai 1. Dengan demikian perubahan tegangan keluaran tidak berpengaruh pada nilai keluaran selama nilai tegangan keluaran masih berada dalam batas yang ditentukan.

I.2. PEMILIHAN KOMPONEN

Suatu sistem digital dapat diimplementasikan dengan menggunakan komponen diskrit ataupun dengan menggunakan mikroprosesor atau mikrokontroler. Komponen diskrit yang digunakan umumnya merupakan merupakan rangkaian terpadu atau IC (integrated circuit). Cara ini lebih dikenal sebagai hardwired, dimana perilaku sistem ditentukan oleh rangkaian yang digunakan. Jenis IC yang digunakan umumnya adalah jenis TTL, CMOS atau lainnya.

Cara yang lain ialah dengan menggunakan mikroprosesor atau mikrokontroler. Cara ini lebih dikenal sebagai Embedded System. Pada cara ini rangkaian menggunakan satu atau lebih mikroprosesor atau mikrokontroler sebagai komponen utamanya, didukung oleh sejumlah IC lain. Pada cara ini perilaku sistem ditentukan oleh program pengatur yang ditanamkan pada sistem. Masing-masing cara ini memiliki kelebihan dan kekurangan.

Dasar pertimbangan dalam pemilihan implementasi antara lain ialah :

        Kecepatan proses

        Perobahan (perilaku) rangkaian

        Lama waktu perancangan

I.2.1. Diskrit

Jika menggunakan komponen diskrit, maka rangkaian dibentuk dengan menggunakan komponen-komponen diskrit, dimana rangkaian dapat dibuat terdiri dari beberapa bagian yang saling mendukung, dimana setiap bagian dapat bekerja secara independen. Dengan demikian maka kecepatan proses lebih cepat karena data dapat diproses secara serempak oleh bagian-bagian ini.

Pada cara ini, perilaku sistem ditentukan oleh rangkaian yang digunakan sehingga setiap perubahan dari perilaku sistem memerlukan perubahan pada rangkaian. Oleh karena itu perilaku sistem menjadi agak sulit untuk diubah. Waktu perancangan dengan menggunakan komponen-komponen diskrit relatip lebih singkat karena hanya perlu merancang perangkat keras.

Untuk membangun suatu rangkaian atau sistem digital, umumnya dibutuhkan gerbang-gerbang logika seperti gerbang AND, gerbang OR, gerbang NOT, gerbang NAND, gerbang NOR, gerbang EXOR dan gerbang EXNOR. Selain gerbang-gerbang umumnya dibutuhkan juga berbagai flipflop, seperti flipflop RS, flipflop D, flipflop T dan flipflop JK. Pada saat ini telah tersedia berbagai keluarga komponen digital, seperti :

Page 8: Perancangan Teknik Digital

        TTL

        CMOS

        DTL

        RTL

        ECL

        I2L

Setiap keluarga komponen digital ini mempunyai sifat-sifat tertentu sehingga dalam memilih komponen yang akan digunakan, perlu dibandingkan karakteristik dari komponen yang akan digunakan. Sebagai contoh, keluarga TTL mempunyai kecepatan lebih tinggi dari CMOS namun lebih boros dalam penggunaan daya. Disisi lain, keluarga CMOS lebih kebal terhadap derau jika dibandingkan dengan keluarga TTL.

Dasar pertimbangan dalam menentukan keluarga logika yang dipilih antara lain ialah :

        Disipasi daya (power dissipation)

        Waktu tunda (delay time)

        Kekebalan derau (noise immunity)

I.2.2. Mikroprosesor

Salah satu alternatip dalam membangun rangkaian digital ialah menggunakan mikroprosesor atau mikrokontroler sebagai pengganti komponen diskrit. Dengan menggunakan mikroprosesor atau mikrokontroler, maka perilaku rangkaian akan lebih mudah untuk diubah karena hanya perlu mengubah program tanpa mengubah perangkat kerasnya.

Salah satu kekurangan dari cara ini ialah waktu perancangan yang relatip lebih lama karena harus merancang perangkat keras dan perangkat lunak. Selain itu kecepatan proses lebih lambat karena perintah-perintah harus dilaksanakan satu persatu. Piranti yang dapat digunakan ada dua, yaitu :

        Mikroprosesor

        Mikrokontroler

Dasar pertimbangan dalam menentukan komponen ialah :

        Jumlah I/O

        Kapasitas memori

        Ukuran fisik perangkat

I.3. TAHAPAN PERANCANGAN

Pada perancangan suatu sistem digital, ada beberapa tahapan yang harus dilalui sebelum rancangan itu dapat direalisasikan. Perancangan umumnya dimulai dengan membuat spesifikasi yang rinci dari sistem yang akan dibuat. Pada spesifikasi ini dirinci data-data teknis dari sistem. Data-data ini antara lain meliputi :

        Perilaku sistem

        Kemampuan

        Kebutuhan daya

Page 9: Perancangan Teknik Digital

        Kondisi operasi

Perilaku sistem menjelaskan tentang sifat-sifat dari sistem, misalnya hubungan antara masukan-masukan dengan keluaran-keluaran. Perilaku sistem ini umumnya dapat dijelaskan dengan kalimat yeng menguraikan dengan jelas bagaimana sifat-sifat atau perilaku sistem yang diinginkan. Contoh, keluaran dari rangkaian akan ber-logika tinggi jika dua dari tiga masukannya diberi logika tinggi. Dari kalimat ini dapat dibuat tabel kebenaran yang selanjutnya dapat digunakan untuk membentuk persamaan rangkaian yang akan dibuat.

Selanjutnya persamaan ini disederhanakan semaksimal mungkin agar rangkaian menjadi sesederhana mungkin. Pada proses penyederhanaan ini, segala sesuatu yang bersifat mubazir (redundance) harus dihilangkan. Semakin sederhana suatu rangkaian, semakin andal rangkaian tersebut dan semakin mudah perawatannya. Semakin sederhana suatu rangkaian, semakin sedikit komponen yang digunakan sehingga probabilitas untuk rusak menjadi semakin kecil. Selain itu perawatannya juga akan semakin mudah karena jika terjadi kerusakan, jumlah komponen yang harus diperiksa atau diganti lebih sedikit.

Kemampuan menjelaskan kapasitas dari sistem, misalnya jumlah masukan, jumlah keluaran, kemampuan arus keluaran dan lain sebagainya. Kebutuhan daya menjelaskan tentang catu daya yang dibutuhkan agar sistem dapat beroperasi dengan baik, misalnya 5 VDC/ 1 Ampere. Kondisi operasi menjelaskan tentang kondisi lingkungan yang dibutuhkan oleh sistem, misalnya suhu kerja, kelembaban dan lain sebagainya.

Tahap kedua dari perancangan adalah pemilihan komponen. Untuk membangun suatu sistem digital, tersedia sejumlah keluarga rangkaian logika, seperti TTL, CMOS dan lain-lain. Masing-masing keluarga logika ini memiliki kelebihan dan kekurangan, seperti frekuensi kerja maksimum, konsumsi daya dan lain-lain. Agar bisa menetukan pilihan, maka perlu diketahui kelebihan dan kekurangan dari masing-masing keluarga logika ini. Dengan diketahuinya data-data dari keluarga-keluarga logika ini, maka dapat ditentukan pilihan yang paling sesuai dengan kebutuhan.

Tahap ketiga dari perancangan adalah membangun rangkaian dengan menggunakan keluarga logika yang dipilih.

Setelah rangkaian selesai maka tahapan selanjutnya adalah ujicoba. Pada ujicoba ini, kemampuan rangkaian diuji dengan memberi kondisi paling buruk yang mungkin ditemui, misalnya diuji pada suhu ruangan 40 oC. Jika rangkaian mampu melewati tahapan ini maka rangkaian dapat diproduksi.

I.4. PERMASALAHAN PADA PERANCANGAN

Pada perancangan suatu sistem, umumnya ada sejumlah masalah yang harus diatasi, seperti ketersediaan komponen, kesesuaian komponen dan lain-lain. Jika komponen yang dibutuhkan tidak tersedia maka rancangan harus diubah dengan menggunakan komponen yang ada. Sebagai contoh, jika gerbang AND dapat digantikan oleh gabungan gerbang NAND dengan sebuah inverter seperti Gambar 1.2a, dua buah gerbang NAND yang dirangkai seperti Gambar 1.2b atau dua buah inverter dan sebuah gerbang OR seperti Gambar 1.2c.

(a) (b) (c)

Gambar 1.2 Rangkaian pengganti gerbang AND

Page 10: Perancangan Teknik Digital

Dalam suatu rancangan, seringkali harus digunakan gerbang-gerbang dari keluarga logika yang berbeda. Hal ini kadang-kadang menimbulkan masalah yang disebabkan ketidaksesuaian keluarga logika tersebut. Masalah ini dapat diatasi dengan menggunakan perangkat antarmuka jika ada. Jika tidak maka perlu dirancang suatu rangkaian untuk keperluan tersebut.

Page 11: Perancangan Teknik Digital

BAB 2. DASAR METODA SWITCHINGSeperti telah dipaparkan pada bab sebelumnya, komputer elektronik yang pertama sangat rumit karena masih menggunakan sistem bilangan desimal sehingga dibutuhkan sepuluh aras tegangan yang berbeda untuk menyatakan setiap nilai desimal. Masalah untuk mempertahankan sepuluh aras tegangan ini sangat banyak sehingga sistem bilangan desimal diganti dengan sistem bilangan biner yang jauh lebih sederhana. Sistem ini hanya memiliki dua nilai, yaitu 0 dan 1, sehingga hanya membutuhkan dua aras tegangan untuk menyatakan kedua nilai tersebut.

Pada aritmatika biner suatu nilai hanya memiliki dua kemungkinan, yaitu “ada” atau “tidak ada” sehingga dapat direpresentasikan dengan berbagai media, misalnya lampu. Lampu yang menyala menyatakan kondisi “ada” atau “tinggi” sedangkan lampu yang padam menyatakan kondisi “tidak ada” atau “rendah”. Selain lampu, sakelar atau transistor juga dapat digunakan untuk menyatakan bilangan biner, dimana sakelar yang tertutup atau transistor yang menghantar menyatakan kondisi tinggi dan sebaliknya. Untuk menyatakan ini, transistor hanya perlu dioperasikan pada dua kondisi ekstrimnya, yaitu jenuh (saturate) dan menyumbat (cutoff). Keuntungan penggunaan transistor ialah kecepatan perubahan dari kondisi rendah atau logika-0 ke tinggi atau logika-1 dapat berlangsung dalam waktu yang sangat cepat, yaitu dalam orde mikrodetik sehingga dapat beroperasi jauh lebih cepat jika dibandingkan dengan sakelar mekanis.

2.1. RANGKAIAN LOGIKA

Rangkaian Logika merupakan pengganti dari rangkaian pengatur yang menggunakan sakelar. Pada awalnya orang menggunakan sejumlah sakelar untuk mengatur satu atau lebih keluaran, dimana kondisi keluaran ditentukan oleh kombinasi sakelar yang ditutup atau dibuka seperti yang diperlihatkan pada Gambar 2.1.

A

B

L

C

Gambar 2.1 Contoh suatu rangkaian pengatur dengan sakelar

Pada Gambar 2.1 lampu L baru akan menyala jika sakelar A dan B atau C ditutup. Pernyataan ini dapat dinyatakan secara matematis dengan menggunakan aljabar Boole, yaitu :

L = A.(B + C)

Page 12: Perancangan Teknik Digital

2.2. RANGKAIAN OR

Rangkaian dasar dari OR mempunyai dua atau lebih masukan dan satu keluaran. Masukan-masukan dan keluaran bisa berada pada salah satu dari dua keadaan, yaitu rendah (0) atau tinggi (1). Rangkaian ini dibuat sedemikian rupa sehingga keluaran akan bernilai 1 jika salah satu (atau lebih) masukan bernilai 1. Rangkaian OR ini diperlihatkan pada Gambar 2.2a.

A

B

LA

BL

(a) (b)

Gambar 2.2 Rangkaian OR

Pada gambar ini, masukan diwakili oleh sakelar A dan B sedangkan keluaran diwakili oleh lampu L. Nilai masukan 1 dinyatakan dengan tertutupnya sakelar yang bersangkutan dan sebaliknya nilai masukan 0 dinyatakan dengan terbukanya sakelar yang tersebut. Nilai keluaran 1 dinyatakan dengan menyalanya lampu L sedangkan nilai keluaran 0 dinyatakan dengan padamnya lampu tersebut.

Dari Gambar 2.2a dapat dilihat bahwa arus ke lampu L akan mengalir jika salah satu atau kedua sakelar ditutup. Mengalirnya arus akan mengakibatkan lampu menyala. Dengan demikian dapat dikatakan bahwa lampu L akan menyala jika salah satu atau kedua sakelar ditutup. Hubungan nyala lampu dengan kedudukan sakelar diperlihatkan pada Tabel 2.1 Tabel ini dikenal sebagai tabel kebenaran.

Tabel 2.1 Tabel kebenaran gerbang OR

A B L

0 0 0

0 1 1

1 0 1

1 1 1

Selain dengan menggunakan tabel kebenaran, hubungan nyala lampu dengan kedudukan sakelar dapat juga dinyatakan dengan suatu persamaan aljabar Boole sebagai :

L = A + B

Perlu dicatat bahwa tanda “+” pada persamaan ini bukan menyatakan perjumlahan aritmatika, tetapi menyatakan “salah satu”.

Page 13: Perancangan Teknik Digital

Simbol untuk rangkaian OR ini diperlihatkan pada Gambar 2.2b. Pada sistem digital, istilah gerbang sering digunakan untuk suatu rangkaian yang mempunyai fungsi tertentu. Sebagai contoh, rangkaian OR ini lebih sering disebut sebagai gerbang OR. Perlu dicatat bahwa jumlah masukan untuk gerbang bisa lebih dari dua sehingga persamaannya menjadi :

L = A + B + . . . . . . . + n

2.3. RANGKAIAN AND

Sama seperti rangkaian OR, rangkaian ini memiliki dua atau lebih masukan dan satu keluaran. Gambar 2.3a memperlihatkan suatu rangkaian AND dengan dua masukan.

A B

LA

BL

(a) (b)

Gambar 2.3 (a) Rangkaian AND (b) Simbol gerbang AND

Pada rangkaian ini, lampu L baru akan menyala jika sakelar A da B keduanya tertutup. Lampu akan padam jika satu atau lebih sakelar terbuka. Simbol gerbang AND ini adalah seperti yang diperlihatkan pada Gambar 2.3b. Tabel kebenaran dari rangkaian ini diperlihatkan pada Tabel 2.2

Tabel 2.2 Tabel kebenaran gerbang AND

A B L

0 0 0

0 1 0

1 0 0

1 1 1

2.4. RANGKAIAN NOT

Page 14: Perancangan Teknik Digital

Rangkaian ini mempunyai satu masukan dan satu keluaran. Rangkaian ini dirangkai sedemikian rupa sehingga nilai keluarannya merupakan kebalikan dari nilai masukannya. Model rangkaian ini diperlihatkan pada Gambar 2.4a.

R

LA A L

(a) (b)

Gambar 2.4 (a) Rangkaian NOT (b) Simbol gerbang NOT

Jika sakelar A terbuka maka lampu L akan menyala. Sebaliknya jika sakelar A ditutup maka lampu L akan padam karena dihubung singkat oleh sakelar A. Fungsi tahanan R adalah sebagai pembatas arus agar catu daya tidak dihubungsingkat jika sakelar A ditutup. Hubungan antara masukan dengan keluaran ini dapat dilihat pada Tabel 2.3.

Tabel 2.3 Tabel kebenaran rangkaian NOT

A L

0 1

1 0

Simbol dari gerbang NOT diperlihatkan pada Gambar 2.4b. Gerbang NOT ini kadang-kadang disebut sebagai Inverter karena sifatnya yang membalik nilai masukannya.

2.5. RANGKAIAN NOR

Rangkaian ini merupakan gabungan dari rangkaian OR dengan rangkaian NOT dimana rangkaian NOT berfungsi untuk membalik nilai keluaran dari rangkaian OR. Rangkaian NOR ini adalah seperti yang diperlihatkan pada Gambar 2.5a.

Page 15: Perancangan Teknik Digital

R

LA BA

BL

(a) (b) (c)

A

BL

Gambar 2.5 (a) Rangkaian NOR

(b) Gabungan gerbang OR dengan gerbang NOT (c) Simbol gerbang NOR

Dari gambar ini terlihat bahwa jika salah satu atau kedua sakelar ditutup maka lampu akan dihubung singkat sehingga padam. Lampu baru akan menyala jika kedua sakelar dibuka. Hubungan antara nyala lampu dengan masukan diperlihatkan pada Tabel 2.4.

Tabel 2.4 Tabel kebenaran gerbang NOR

A B L

0 0 1

0 1 0

1 0 0

1 1 0

Hubungan gerbang OR dengan gerbang NOT diperlihatkan pada Gambar 2.5b sedangkan simbol dari gerbang OR diperlihatkan pada Gambar 2.5c.

2.6. RANGKAIAN NAND

Rangkaian ini merupakan gabungan dari rangkaian AND dengan rangkaian NOT dimana rangkaian NOT berfungsi untuk membalik nilai keluaran dari rangkaian AND. Rangkaian NAND ini adalah seperti yang diperlihatkan pada Gambar 2.6a.

Page 16: Perancangan Teknik Digital

R

L

A

B

(a) (b)

A

BL

A

BL

(c)

Gambar 2.6 (a) Rangkaian NAND (b) Gabungan gerbang AND dengan gerbang NOT

(c) Simbol gerbang NAND

Dari gambar ini terlihat bahwa lampu L akan padam jika sakelar A dan B keduanya ditutup. Jika salah satu atau kedua sakelar terbuka maka lampu akan menyala. Hubungan antara nyala lampu dengan posisi sakelar diperlihatkan pada Tabel 2.5.

Tabel 2.5 Tabel kebenaran gerbang NAND

A B L

0 0 1

0 1 1

1 0 1

1 1 0

Seperti dinyatakan sebelumnya, rangkaian NAND adalah merupakan gabungan dari rangkaian AND dengan rangkaian NOT. Hal ini diperlihatkan pada Gambar 2.6b. Dari gambar ini terlihat bahwa gerbang NOT akan membalik nilai keluaran dari gerbang AND. Simbol dari gerbang NAND adalah seperti yang diperlihatkan pada Gambar 2.6c.

2.7. RANGKAIAN EXOR

Rangkaian ini merupakan pengembangan dari rangkaian OR dan disebut EXclusive OR atau disingkat EXOR. Pada rangkaian EXOR nilai keluaran akan tinggi jika nilai kedua masukan berbeda. Sebaliknya nilai keluaran akan rendah jika kedua masukan bernilai sama. Rangkaian EXOR ini diperlihatkan pada Gambar 2.7a.

Page 17: Perancangan Teknik Digital

L

(a) (b)

A

BL

1 1

0 0

BA

Gambar 2.7 (a) Rangkaian EXOR (b) Simbol gerbang EXOR

Sakelar yang digunakan pada Gambar 2.7a adalah sakelar dua kedudukan atau yang dikenal sebagai SPDT (single pole double throw). Sakelar ini mempunyai dua kontak diam sehingga pada setiap kedudukan kontak gerak tersambung pada salah satu kontak diam. Jika kedudukan sakelar A dan B keduanya pada posisi 0 atau keduanya pada posisi 1 maka loop akan terbuka sehingga tidak ada arus yang mengalir dari catu daya dan lampu L akan padam. Tetapi jika sakelar A berada pada posisi 1 dan sakelar B pada posisi 0 maka arus akan mengalir sehingga lampu akan menyala. Demikian pula sebaliknya. Oleh karena itu lampu hanya akan menyala jika kedudukan kedua sakelar berbeda. Hubungan antara nyala lampu dengan masukan atau kedudukan sakelar diperlihatkan pada Tabel 2.6 sedangkan simbol dari gerbang EXOR diperlihatkan pada Gambar 2.7b.

Tabel 2.6 Tabel kebenaran gerbang EXOR

A B L

0 0 0

0 1 1

1 0 1

1 1 0

2.8. RANGKAIAN EXNOR

Seperti halnya rangkaian NOR dan NAND, rangkaian EXNOR adalah hasil gabungan dari rangkaian EXOR dengan rangkaian NOT. Rangkaian ini diperlihatkan pada Gambar 2.8a. Sakelar yang digunakan pada gambar ini adalah sakelar kutub tunggal dua kedudukan atau SPDT (single pole double throw). Sebagai contoh, kutub sakelar A tersambung ke kontak 0 pada satu kedudukan dan tersambung ke kontak 1 pada kedudukan yang lain. Dari gambar ini terlihat bahwa lampu L hanya akan menyala jika kedua sakelar berada pada kedudukan yang sama,

Page 18: Perancangan Teknik Digital

yaitu keduanya berada pada keduduka 0 atau keduanya berada pada kedudukan 1. Hubungan antara kedudukan sakelar dengan nyala lampu dapat dilihat pada Tabel 2.7.

Tabel 2.7 Tabel kebenaran gerbang EXNOR

A B L

0 0 1

0 1 0

1 0 0

1 1 1

Pada rangkaian logika gerbang EXNOR dapat dibentuk dengan menggabungkan gerbang EXOR dengan gerbang NOT, seperti yang diperlihatkan pada Gambar 2.8b. Sedangkan simbol untuk Gerbang EXNOR ini adalah seperti yang diperlihatkan pada Gambar 2.8c.

L

A B

(a) (b)

A

BL

1

0

1

0

A

BL

(c)

Gambar 2.8 (a) Rangkaian EXNOR (b) Gabungan gerbang EXOR dengan gerbang NOT

(c) Simbol gerbang EXNOR

Page 19: Perancangan Teknik Digital

BAB 3. KELUARGA LOGIKAJika ditinjau dari rangkaian elektronika yang membentuknya maka gerbang-gerbang logika dapat dikelompokkan menjadi beberapa kelompok atau keluarga, antara lain ialah :

1.      DCTL (Direct Coupled Transistor Logic)

2.      RTL (Resistor Transistor Logic)

3.      RCTL (Resistor Capasitor Transistor Logic)

4.      DTL (Diode Transistor Logic)

5.      TTL (Transistor Transistor Logic)

6.      Schottky TTL (Schottky Transistor Transistor Logic)

7.      ECL Emitter Coupled Logic)

8.      I2L (Integrated Injection Logic)

9.      MOS (Metal Oxide Semiconductor)

10.  CMOS (Complementary Metal Oxide Semiconductor)

Karena menggunakan rangkaian dan komponen elektronik yang berbeda maka masing-masing kelompok memiliki nilai-nilai parameter yang berbeda. Perbedaan umumnya terletak pada :

1.      Tegangan kerja

2.      Aras tegangan logika (logic level voltage)

3.      Tegangan ambang (threshold voltage)

4.      Waktu tunda (delay time)

5.      Disipasi daya (power dissipation)

6.      Batas derau (noise margin)

7.      Suhu kerja (Operating temperature)

8.      Fan in dan fan out

Aras tegangan logika adalah besarnya tegangan untuk masing-masing nilai logika 1 dan logika 0. Umumnya tegangan untuk logika 1 selalu lebih tinggi dari tegangan untuk logika 0. Sebagai contoh, untuk keluarga TTL, semua tegangan yang terletak antara 2,2 VDC sampai +5 VDC dianggap sebagai logika 1 dan semua tegangan yang terletak antara 0 VDC hingga 0,8 VDC dainggap sebagai logka 0. Untuk keluarga CMOS, nilai tegangan ini berbeda karena keluarga CMOS dapat menggunakan tegangan catuan yang berkisar dari +3 VDC hingga +15 VDC.

Tegangan ambang adalah peralihan tegangan dari aras yang dianggap sebagai logika 0 ke logika 1 dan sebaliknya. Tegangan ambang ini umumnya tergantung pada tegangan catu yang digunakan.

Waktu tunda adalah selisih waktu antara perubahan pada masukan dengan berubahnya keluaran. Perubahan keluaran umumnya selalu tertinggal dari perubahan masukan. Hal ini disebabkan karena kelambatan response dari semikonduktor yang digunakan dan adanya kapasitansi antara koduktor pada IC yang bersangkutan. Waktu tunda ini umumnya dipengaruhi oleh besarnya tegangan catuan

dan suhu kerja.

Disipasi daya adalah besarnya daya yang diserap bila bekerja dengan duty cycle sebesar 50% pada frekuensi tertentu. Besarnya disipasi daya umumnya sebanding dengan jumlah komponen dalam suatu serpih (chip) dan rangkaian yang digunakan.

Page 20: Perancangan Teknik Digital

Batas derau adalah simpangan tegangan maksimum yang dapat diterima tanpa mengubah keadaan (state). Semakin tinggi batas derau semakin baik karena rangkaian akan lebih kebal terhadap derau.

Suhu kerja adalah kisar suhu dimana perangkat masih dapat bekerja dengan baik. Umtuk keperluan komersil kisar suhu ini umumnya adalah dari 0 hinmgga 70 0C sedangkan untuk keperluan militer kisar suhu adalah dari –55 hingga 125 0C.

Fan in adalah satuan (unit) masukan dan fan out adalah satuan keluaran dari gerbang standar. Pada beberapa kelompok gerbang, impedansi masukan sulit untuk ditentukan karena impedansi masukan untuk keluaran logika rendah berbeda dari impedansi masukan untuk keluaran logika tinggi. Oleh karena itu digunakan fan in untuk menyatakan besaran masukan dan fan out untuk menyatakan besaran keluaran suatu gerbang.

3.1. TRANSISTOR SEBAGAI SAKELAR

Dalam pengoperasiannya sebagai penguat, tranisistor bipolar dapat dioperasikan dengan tiga konfigurasi, yaitu :

1.      Penguat emiter bersama (common emitter amplifier)2.      Penguat basis bersama (common base amplifier)

3.      Penguat kolektor bersama (common collector amplifier)

Pada sistem digital, transistor umumnya digunakan sebagai penguat emiter bersama yang dirangkai seperti Gambar 3.1.

V CC

KELUARAN

MASUKAN

IC

IB

R C

R B

Gambar 3.1. Penguat emiter bersama

Pada penggunaannya sebagai penguat linier, transistor diberi arus panjar (bias) agar tegangan kolektornya menjadi lebih kurang VCC / 2. Tujuannya adalah agar tegangan kolektor ini dapat naik dan turun dengan kisar tegangan yang sama. Kurva yang menggambarkan hubungan antara tegangan kolektor dengan arus kolektor disebut garis beban dan diperlihatkan pada Gambar 3.2.

Page 21: Perancangan Teknik Digital

V CE

IC

V CC

V CC /R C

Gambar 3.2 Garis beban penguat emiter bersama

Garis beban ini diperoleh dari persamaan tegangan kolektor, yaitu :

VCE = VCC – IC.RC

dimana : VCE : tegangan kolektor-emiter

IC : arus kolektor

VCC : tegangan catu

Besarnya arus kolektor adalah merupakan fungsi dari arus basis dan dinyatakan sebagai :

IC = .IB

dimana : faktor penguatan arus

IB : arus basis

Dalam pengunaannya sebagai sakelar, transistor dioperasikan hanya pada ujung-ujung garis beban, yaitu keadaan menyumbat (cutoff) dan keadaan jenuh (saturate).

Pada

keadaan menyumbat :

IC = 0 sehingga VC = VCC

Agar IC = 0 maka IB harus = 0.

Pada keadaan jenuh :

VC = 0

IC.RC = VCC

atau IC = VCC / RC

Agar IC = VCC / RC maka IB harus ≥ (VCC / RC) /

atau IB(min) = (VCC / RC) /

Dengan memberi arus basis yang sesuai maka besarnya tegangan kolektor VC dapat dibuat menjadi 0 Volt (logika-0) atau VCC (logika-1). Pada rangkaian logika, rangkaian ini dapat digunakan sebagai gerbang NOT atau pembalik (inverter).

Page 22: Perancangan Teknik Digital

3.2. DIRECT COUPLED TRANSISTOR LOGIC (DCTL)

Nama DCTL digunakan karena masukan dihubungkan langsung ke basis transistor, seperti yang diperlihatkan pada Gambar 3.3. Gambar ini memperlihatkan rangkaian gerbang NOR dari keluarga DCTL.

Q 1 Q 2

R L

A

B

V CC

OUTPUTINPUT

GROUND

Gambar 3.3. Rangkaian NOR DCTL

Pemberian logika 1 pada masukan A atau B akan membuat transistor yang bersangkutan mengantar sehingga keluaran akan berlogika 0. jika semua masukan diberi logika 0 maka semua transistor akan tersumbat sehingga keluaran akan berlogika 1.

Rangkaian DCTL mempunyai suatu kekurangan yang dikenal sebagai “current hogging” yang berarti menahan atau mengambil arus yang besar. Jika rangkaian pada Gambar 3.1 dihubungkan ke sejumlah gerbang yang sama maka keluaran dari gerbang pertama akan dibebani sejumlah basis dari transistor. Karena adanya perbedaan tegangan VBE dari transistor-transistor beban maka satu transistor akan menghantar lebih dahulu. Bahkan ada kemungkinan bahwa tranisistor ini akan mengambil arus masukan yang besar sehingga transistor lain akan gagal menghantar karena tidak mendapat arus masukan yang cukup.

Tegangan masukan umumnya diambil dari keluaran kolektor rangkaian sebelumnya dan keluaran dihubungkan langsung dengan gerbang berikutnya

3.3. RESISTOR TRANSISTOR LOGIC

(RTL)

Masalah current hogging pada keluarga DCTL dapat diatasi dengan menyisipkan tahanan pada setiap masukan. Hasilnya adalah rangkaian RTL seperti yang diperlihatkan pada Gambar 3.4. Rangkaian ini menggunakan tahanan pada rangkaian masukannya untuk memperbesar tahanan masukan sehingga mengurangi pembebanan bagi rangkaian penggeraknya. Ini memberikan nilai fan-out yang lebih besar.

Page 23: Perancangan Teknik Digital

Q 1 Q 2

R L

V CC

OUTPUTINPUT

GROUND

A

B

Gambar 3.4 Rangkaian NOR keluarga RTL

Keuarga RTL adalah keluarga logika pertama yang diintegrasikan pada awal tahun 1960-an dan menjadi standard yang pertama dalam jajaran IC rangkaian logika.

Keluarga RTL memiliki sejumlah kekurangan, antara lain ialah lambat, fan-out yang rendah dan peka terhadap perubahan suhu. Kelambatan disebabkan karena tahanan pada masukan dan kapasitansi basis-emiter dari transistor membentuk tapis lulus bawah (low pass filter). Ini memperlambat naik dan turunnya pulsa masukan.

3.4. RESISTOR CAPASITOR TRANSISTOR LOGIC (RCTL)

Keluarga ini merupakan pengembangan dari keluarga RTL. Bedanya ialah, rangkaian ini menggunakan kapasitor yang diparalel dengan tahanan masukannya guna meningkatkan kecepatan switchingnya, seperti yang diperlihatkan pada Gambar 3.5.

Q 1 Q 2

R L

V CC

OUTPUTINPUT

GROUND

A

B

Gambar 3.5. Rangkaian NOR keluarga RCTL

Kapasitor ini akan mengkompensasi kapasitor antara basis dan emiter dari transistor. Penggunaan kapasitor pada masukan memungkinkan penggunaan tahanan masukan dengan nilai yang lebih besar sehingga memperkecil konsumsi daya dari setiap gerbang. Pada era tujuh puluhan rangkaian ini rangkaian ini agak mahal untuk diproduksi karena pada saat itu biaya memproduksi tahanan dengan nilai yang tinggi dan kapasitor pada IC masih mahal.

3.5. Diode Transistor Logic (DTL)

Jenis lain dari IC logika yang sangat populer ialah Diode Tranistor Logic (DTL). Rangkaian ini diperlihatkan pada Gambar 3.6.

Page 24: Perancangan Teknik Digital

D 2

Q 1D 3

R 2

R 1

D 1

R L

V CC

OUTPUTINPUT

GROUND

A

B

D 4

Gambar 3.6. Rangkaian NAND keluarga DTL

Rangkaian ini selalu terdiri dari dua dioda masukan yang membentuk gerbang AND disusul oleh sebuah transistor yang berfungsi sebagai pembalik (inverter) sehingga membentuk suatu rangkaian NAND.

Jika semua masukan berlogika 1 maka dioda D1 dan D2 tidak menghantar sehingga transistor akan mendapat arus basis melalui R1, D3 dan D4. Tetapi jika salah satu atau kedua masukan berlogika 0 maka dioda D1 atau D2 atau keduanya akan menghantar sehingga tegangan basis transistor akan nol karena dibumikan oleh tahanan R2. Akibatnya transistor akan tersumbat sehingga keluaran akan berlogika tinggi.

DTL sangat sesuai untuk dibuat menjadi IC monolitik karena tidak memerlukan kapasitor dan nilai komponen tidak begitu kritis. Beberapa versi monolitik dari DTL mengganti dioda D4 dengan transistor seperti yang diperlihatkan pada Gambar 3.7.

Q 2

R 2

R 1

D 1

D 2

R L

V CC

OUTPUTINPUT

GROUND

A

B

D 3

Q 1

Gambar 3.7. Rangkaian NAND keluarga DTL yang diperbaiki

Hal ini meningkatkan kemampuan IC karena transistor ini memberi drive tambahan untuk transistor keluaran sehingga mengurangi arus dari tingkatan sebelumnya. Pada IC monoltik, penggantian dioda dengan transistor tidak memerlukan biaya tambahan karena semua dibuat pada keping (chip) yang sama.

3.6. TRANSISTOR TRANSISTOR LOGIC (TTL)

TTL adalah merupakan pengembangan dari DTL. Pada rangkaian DTL yang diperlihatkan pada Gambar 3.6, D1 dan D3 membentuk suatu transistor jenis NPN. Pada rangkaian TTL, kedua dioda ini digatikan oleh sebuah transistor NPN. Untuk mendapatkan masukan yang banyak, rangkaian TTL menggunakan transistor dengan emiter banyak, sehingga rangkaian dasar TTL menjadi seperti yang diperlihatkan pada Gambar 3.8.

Page 25: Perancangan Teknik Digital

Q 2

R 1 R L

V CC

OUTPUTINPUT

GROUND

A

B

Q 1

Gambar 3.8. Rangkaian dasar TTL

Keuntungan penggunaan transistor dengan emiter banyak ini antara lain ialah luas chip untuk transistor ini lebih kecil dari dioda-dioda yang digantikannya sehingga ukuran chip menjadi lebih kecil dan lebih murah. Selain itu kapasitansi yang terbentuk juga lebih kecil sehingga kecepatan switching menjadi lebih tinggi. Ini salah satu kelebihan TTL dari DTL.

Rangkaian TTL yang diperlihatkan pada Gambar 3.7 masih memiliki kekurangan yaitu kekebalan derau yang terbatas dan fan-out yang rendah. Guna mendapatka kecepatan dan fan-out yang lebih tinggi, rangkaian ini diperbaiki sehingga menjadi seperti yang diperlihatkan pada Gambar 3.9.

Q 2

R 1

R 2

V CC

OUTPUT

INPUT

GROUND

A

B

Q 1

R 3

Q 3

Q 4

D 1

Gambar 3.9 Rangkaian TTL yang diperbaiki

Perubahan yang paling menyolok terjadi pada bagian keluaran. Bagian keluaran ini membentuk suatu konfigurasi yang disebut totem-pole karena tiga komponen keluaran, yaitu Q3, D1 dan Q4 disusun secara bertumpuk seperti totem-pole (tongkat sakral orang Indian Amerika). Jika satu atau kedua masukan berlogika rendah maka Q1 menghantar sehingga tegangan kolektornya rendah. Ini akan menyebabkan Q2 tersumbat sehingga basis Q4 akan dibumikan oleh R3 sehingga Q4 juga akan tersumbat. Menyumbatnya Q2 akan membuat tegangan kolektornya tinggi sehingga memberi tegangan pada basis Q3 yang berfungsi sebagai sebuah pengikut emiter (emitter follower) sehingga tegangan emiternya menjadi tinggi dan tegangan keluaran menjadi tinggi melalui D1.

Jika semua masukan berlogika tinggi, maka Q1 akan tersumbat dan Q2 mendapat arus basis melalui sambungan basis-kolektor dari Q1 yang bertindak sebagai dioda. Akibatnya Q2 akan menghantar sehingga Q3 kehilangan tegangan basis dan Q4 akan menghantar. Ini semua akan membuat tegangan keluaran menjadi rendah.

Fungsi dioda D1 adalah untuk mencegah agar Q3 dan Q4 tidak menghantar secara bersamaan karena hal ini akan menimbulkan arus yang berlebihan.

Page 26: Perancangan Teknik Digital

Alasan penggunaan rangkaian totem-pole pada keluaran ialah karena rangkaian ini dapat melakukan pullup atau “menarik keatas” dan juga pulldown atau “menarik kebawah”. Istilah pulldown digunakan jika beban terpasang antara +VCC dengan keluaran, seperti yang diperlihatkan pada Gambar 3.10a. Dalam hal ini transistor hanya mampu untuk menarik tegangan keluaran VO menjadi lebih rendah

Pada Gambar 3.10a beban terpasang antara +VCC dengan keluaran sehingga transistor hanya mampu untuk menarik tegangan keluaran VO ke potensial yang lebih rendah. Oleh karena itu rangkaian ini disebut rangkaian pulldown.

Pada Gambar 3.10b beban terpasang antara keluaran dengan bumi sehingga transistor hanya mampu untuk menarik tegangan keluaran VO ke potensial yang lebih tinggi. Oleh karena itu rangkaian ini disebut rangkaian pullup.

BEBAN

+V CC +V CC

BEBAN

IL

IL

V O V O

(a) Pull down (b) Pull up

Gambar 3.10 (a) Rangkaian Pulldown (b) Rangkaian Pullup

Transistor Q2 pada Gambar 3.8 hanya mampu untuk melakukan pulldown sedangkan komponen untuk pullup adalah tahanan RL. Oleh karena itu kemampuan rangkaian untuk pullup lebih kecil dari kemampuannya untuk pulldown. Agar mampu untuk melakukan pullup dan pulldown digunakan rangkaian totem-pole. Pada rangkaian ini tahanan RL digantikan oleh transistor Q3 yang berfungsi untuk melakukan pullup sedangkan Q4 berfungsi untuk melakukan pulldown.

3.6.1. Jenis-jenis TTL

Berdasarkan kemampuan kerjanya, keluarga logika TTL dapat dibagi atas dua versi, yaitu versi militer dan versi konsumer. Versi militer dirancang untuk dapat beroperasi pada kisaran suhu –55 oC hingga +125 oC dan menggunakan nomor 54xx atau 54xxx, dimana xx adalah angka yang menunjukkan fungsinya. Sebagai contoh, 5400 adalah Quad NAND Gate versi militer, yang setiap IC mengandung 4 buah gerbang NAND.

Versi konsumer dirancang untuk dapat beroperasi pada kisaran suhu antara 0 oC hingga +70 oC dan menggunakan nomor 74xx atau 74xxx. Sebagai contoh, 7401 adalah Quad NOR Gate versi konsumer, yang setiap IC mengandung 4 buah gerbang NOR.

Setiap versi TTL masih terdiri dari beberapa jenis. Masing-masing jenis memiliki kelebihan dan kekurangan. Perbedaannya terletak pada konsumsi arus dan kecepatan switching. IC dengan fungsi tertentu diberi nomor yang sama dengan jenis standard, tetapi dengan menyisipkan satu atau dua huruf dibelakang 74. Sebagai contoh 74H00 adalah Quad NAND Gate versi konsumer jenis kecepatan tinggi.

3.6.1.1. TTL jenis Low Power

Page 27: Perancangan Teknik Digital

Rangkaian yang digunakan adalah sama dengan rangkaian TTL standard. Perbedaannya terletak pada nilai tahanan-tahanan yang digunakan. Pada TTL jenis ini nilai tahanan yang digunakan rata-rata empat kali lebih besar dari TTL standard sehingga konsumsi arus menjadi lebih kecil. IC TTL jenis ini menggunakan nomor 54Lxx/74Lxx atau 54Lxxx/74Lxxx. Contoh rangkaian dapat dilihat pada Gambar 3.11.

Q 2

R 116K

R 26K

V CC

OUTPUT

INPUT

GROUND

A

B

Q 1

R 35K

Q 4

Q 4

R 6320

Q 3

R 4600

R 516K

Gambar 3.11 Rangkaian TTL tipe 74L00

Konsekwensinya ialah kecepatannya yeng lebih rendah. Jenis ini sesuai untuk digunakan pada rangkaian dengan rate switching yang rendah.

3.6.1.2. TTL jenis High Speed

Pada jenis ini nilai tahanan yang digunakan adalah setengah dari TTL standard sehingga kecepatannya menjadi lebih tinggi. Akibat dari penggunaan tahanan dengan nilai rendah ialah konsumsi arusnya yang lebih tinggi. Jenis ini sesuai untuk digunakan pada rangkaian dengan rate switching yang tinggi, seperti pada alat ukur frekuensi. IC TTL jenis ini menggunakan nomor 54Hxx/74Hxx atau 54Hxxx/74Hxxx. Contoj rangkaian diperlhatkan pada Gambar 3.12.

Q 2

R 12K8

R 2760

V CC

OUTPUT

INPUT

GROUND

A

B

Q 1

R 3470

Q 4

Q5

R 556

Q 3

R 44K

Gambar 3.12 Rangkaian TTL tipe 74H00

3.6.1.3. Schottky TTL

Page 28: Perancangan Teknik Digital

Rangkaian TTL standard mengoperasikan transistor pada mode jenuh. Jika transistor pada rangkaian ini menghantar, tidak ada clamping untuk mencegah agar tegangan kolektor tidak terlalu menyamai tegangan emiter agar transistor tidak menjadi jenuh. Ketika transistor dibuat menyumbat, efek storage-time menghambat kenaikan tegangan kolektor sehingga menimbulkan waktu tunda antara sinyal untuk menyumbat transistor dengan kenaikan tegangan kolektor. Oleh karena itu kecepatan gerbang tidak dapat dioptimalkan.

Dua jenis transistor bipolar logika digunakan untuk mengatasi masalah ini. Keduanya menggunakan rangkaian untuk mencegah transistor menjadi jenuh. Yang pertama adalah Schottky TTL dan yang kedua adalah ECL (emitter coupled logic). Rangkaian Shcottky TTL adalah sama dengan rangkaian TTL standard kecuali adanya penambahan suatu komponen, yaitu dioda Schottky pada setiap transistor untuk mencegahnya menjadi jenuh. Dioda ini dihubungkan antara basis dengan kolektor, seperti diperlihatkan pada Gambar 3.13a.

(a) (b)

Gambar 3.13 Penggunaan dioda Schottky pada TTL

Dioda ini mampu untuk mencegah transistor menjadi jenuh karena dua hal, yaitu kecepatan switching yang tinggi dan tegangan jatuh maju yang kecil, yaitu sekitar 0,4 Volt. Untuk menyederhanakan maka transistor dengan dioda Shcottky digembarkan dengan simbol seperti Gambar 3.11b.

Transistor jenis silicon seperti yang digunakan pada rangkaian TTL baru akan menghantar jika diberi tegangan basisnya sekitar 0,6 sampai 0,7 Volt. Pada saat transistor tidak menghantar maka tegangan kolektor akan tinggi sehingga dioda tidak menghantar dan tidak mempunyai efek apa-apa. Tetapi jika transistor menghantar dan tegangan kolektor kurang dari 0,2 Volt maka dioda akan menghantar sehingga transistor akan memperkecil tegangan basisnya sendiri. Dengan demikian transistor tidak akan pernah bisa jenuh. IC TTL jenis ini menggunakan nomor 54Sxx/74Sxx atau 54Sxxx/74Sxxx. Contoh rangkaian IC ini diperlihatkan pada Gambar 3.14.

Q2

R 12K8

R 2760

V CC

OUTPUT

INPUT

GROUND

A

B

Q 1

R 3370

Q 4

R 555

R 43K5

Q3

Q5R6350

Q6D1 D2

Gambar 3.14 Rangkaian TTL tipe 74S00

Page 29: Perancangan Teknik Digital

3.6.1.4. TTL jenis Low Power Schottky

Jenis ini merupakan gabungan dari jenis Low Power dan jenis Schottky. Pada IC ini digunakan transistor dengan dioda Schottky dengan tahanan yang bernilai tinggi. Hasilnya adalah IC dengan konsumsi arus rendah tetapi mempunyai kecepatan yang memadai. IC TTL jenis ini menggunakan nomor 54LSxx/74LSxx atau 54LSxxx/74LSxxx. Contoh rangkaian jenis ini dapat dilihat pada Gambar 3.15.

Q2

R124K

R47K6

V CC

OUTPUT

GROUND

R3370

Q 4R55K

Q3

Q5R6

3K5

Q6D1 D2

D3

D4

R7 110

Gambar 3.15 Rangkaian TTL tipe 74LS00

Perbandingan beberapa IC dari jenis yang berbeda dapat dilihat pada Tabel 3.1.

Tabel 3.1. Perbandingan beberapa jenis IC TTL

Tipe Rangkaian TTL H-TTL S-TTL LP-TTL LS-TTL

2-input NAND tPLH / tPHL

7400 ICC

22/15

2,0/5,5

10/10

4,2/10

4,5/5,0

4,0/9,0

10/10

0,4/1,1

D Flip-flop fMAX

7474 ICC

15

7,0

35

38

75

25

30

4,0

JK Flip-flop fMAX

74112 ICC

25

7,0

40

38

80

25

30

4,0

Multiplexer tPLH / tPHL

74157 ICC

14/14

23,5

7,5/6,5

39

22/30

6,6

14/14

8,0

Pencacah fMAX

74160 ICC

30

92

70

127

13

27,5

25

32

Shift Register fMAX

74195 ICC

30

63

45

112

70

120

10

23

30

21

Page 30: Perancangan Teknik Digital

3.7. EMITTER COUPLED LOGIC (ECL)

Rangkaian EC menggunakan penguat diferensial pada masukannya seperti yang diperlihatkan pada Gambar 3.16. Rangkaian ini disebut juga sebagai CML (current-mode logic).

Q1 Q2

2K 2K

4K3

-5V

+5V

V1 V2

INPUT OUTPUT

Gambar 3.16 Penguat diferensial pada rangkaian ECL

Jika tegangan masukan adalah 0 Volt maka tegangan emiter adalah

VE = VB – VBE

= 0 – 0,7 V

= – 0,7 Volt

Besarnya arus emiter adalah

IE = 4,3 V/4,3 K

= 1 mA

Jika penguat simetri maka arus emiter ini akan terbagi dua secara merata sehingga masing-masing transistor akan mengalirkan arus sebesar 0,5 mA dan besarnya tegangan jatuh pada masing-masing tahanan kolektor (VRC) adalah sebesar 1 Volt.

Besarnya tegangan kolektor dari transistor adalah :

VC = VCC – VRC

= 5 – 1 Volt

= 4 Volt

Pada aplikasi digital tegangan masukan hanya memiliki dua nilai, yaitu tinggi atau rendah. Jika tegangan untuk logika tinggi adalah 1,7 Volt maka tegangan basis Q1 juga menjadi 1,7 Volt. Karena berfungsi sebagai pengikut emiter maka tegangan emiter dari Q1 adalah

VE = VB – VBE

= 1,7– 0,7 Volt

= 1 Volt

Page 31: Perancangan Teknik Digital

Karena tegangan basis Q2 adalah 0 Volt maka Q2 akan tersumbat sehingga arus kolektornya menjadi nol dan tegangan kolektor manjadi + 5 Volt. Karena Q2 tersumbat maka semua arus ke tahanan emiter berasal dari Q1 sehingga tegangan jatuh pada tahanan kolektornya menjadi

2 Volt. Oleh karena itu tegangan kolektor Q2 menjadi

VC2 = VCC – VRC

=5 – 2 Volt

= 3 Volt

Misalkan sekarang logika masukan adalah rendah dimana tegangan masukan adalah – 1 Volt. Karena emiter gabungan selalu mengikuti tegangan basis yang tertinggi maka tegangan emiter sekarang adalah – 0,7 Volt karena mengikuti basis Q2. Oleh karena itu Q1 akan tersumbat sehingga tegangan kolektornya menjadi + 5 Volt dan Q2 akan mencatu arus yang melalui tahanan emiter sehingga arus kolektornya menjadi 1 mA. Akibatnya tegangan kolektor Q2 menjadi

VC2 = VCC – VRC

= 5 – 2 Volt

= 3 Volt

Hubungan antara tegangan masukan dengan tegangan keluaran diperlihatkan pada Tabel 3.2.

Tabel 3.2. Hubungan tegangan masukan dengan tegangan keluaran

Input Output

V1 V2

+ 1,7 + 3,0 + 5,0

– 1,0 + 5,0 + 3,0

Kelebihan dari rangkaian ECL ialah bahwa tidak ada transistor yang dioperasikan sampai jenuh sehingga tundaan propagasi (propagation delay) menjadi sangat kecil. Kelebihan lain dari keluarga ini ialah tersedianya dua keluaran, yaitu keluaran utama dan komplemennya sehingga rangkaian menjadi lebih fleksibel.

Jumlah masukan dapat diperbanyak dengan menggunakan sejumlah transistor yang diparalel pada masukan seperti diperlihatkan pada Gambar 3.17.

Page 32: Perancangan Teknik Digital

Q5 Q6

+5V

D E

INPUT

Q3Q2Q1 Q4

D1

D2

A B C

OUTPUT

Gambar 3.17 Rangkaian NOR keluarga ECL

Q1, Q2 dan Q3 adalah transistor masukan logika sedangkan Q4 adalah transistor acuan bersama. Perhatikan bahwa basis Q4 mendapat catuan darai tegangan VBB dan tahanan emiter dihubungkan ke bumi sehingga tidak diperlukan catu tegangan negatip. Tegangan VBB diperoleh dari VCC dan dikompensasi terhadap perubahan suhu dengan menggunakan D1 dan D2 yang masing-masing merupakan transistor yang dihubungkan sebagai dioda. Pengikut emiter digunakan untuk memperkecil impedansi keluaran sekaligus menggeser aras tegangan (level shifting). Karena ada keluaran kedua yang merupakan komplemen keluaran pertama maka rangkaian pada Gambar 3.13 dapat berfungsi sebagai gerbang NOR dan sekaligus sebagai gerbang OR. Sebagai contoh, pemberian logika tinggi pada masukan A, B atau C akan mengakibatkan keluaran D rendah sehingga rangkaian berfungsi sebagai gerbang NOR. Sebaliknya, pemberian logika tinggi pada masukan A, B atau C akan mengakibatkan keluaran E tinggi sehingga rangkaian berfungsi sebagai gerbang OR. Tabel kebenaran rangkaian ini dapat dilihat pada Tabel 3.3.

Tabel 3.3 Tabel kebenaran untuk rangkaian Gambar 3.12.

Input Output

A B C

NOR OR

D E

0 0 0 1 0

0 0 1 0 1

0 1 0 0 1

0 1 1 0 1

1 0 0 0 1

1 0 1 0 1

1 1 0 0 1

1 1 1 0 1

Page 33: Perancangan Teknik Digital

3.8. INTEGRATED INJECTION LOGIC (I2L)

Salah satu jenis logika bipolar adalah I2L. Pada rangkaian ini tahanan untuk arus bias dan beban transistor dieliminasi, kecuali satu tahanan yang berfungsi untuk memberi bias bagi seluruh chip. Tahanan mengkonsumsi daya yang cukup besar dan membutuhkan tempat pada chip sehingga eliminasi tahanan memungkinkan rangkaian yang lebih padat dengan konsumsi daya yang lebih rendah. Pada pemakaian dimana kecepatan sangat diutamakan, seperti pada komputer besar, chip yang mengandung 1000 atau lebih gerbang I2L dapat beroperasi dengan daya yang kurang dari 100 gerbang TTL dan pada kecepatan yang sama. Jika kepadatan rangkaian yang diutamakan, seperti pada jam tangan digital, I2L dapat beroperasi dengan daya dalam orde mikro Watt, namun dengan kemampuan arus yang cukup besar sehingga dapat menyalakan peraga LED.

Salah satu kelebihan I2L ialah bahwa ini hanyalah teknik rangkaian yang baru, bukan teknologi baru sehingga pembuatan IC jenis I2L relatip mudah karena dapat menggunakan fasilitas pembuatan rangkaian dengan transistor bilpolar yang telah ada.

I2L terbentuk sebagai hasil tata ulang rangkaian DCTL dengan menggunakan rangkaian transistor komplemen. Urutan dari penataan ulang ini diperlihatkan pada Gambar 3.18.

Gambar 3.18a memperlihatkan rangkaian dengan gerbang NAND dan Gambar 3.18b memperlihatkan rincian rangkaian dengan menggunakan komponen DCTL. Titik A pada Gambar 3.18a adalah sama dengan titik A pada Gambar 3.18b dan seterusnya. Pada Gambar 3.18c diperlihatkan suatu rangkaian yang menggunakan tiga buah komponen, yang masing-masing diambil dari ketiga gerbang NAND pada Gambar 3.18b, yaitu R1, Q1 dan Q2. Perhatikan bahwa transistor-transistor dirangkai sehingga memiliki basis bersama dan emiter bersama sehingga Q1 dan Q2 dapat digantikan oleh Q3, yaitu sebuah transistor dengan kolektor ganda seperti yang diperlihatkan pada Gambar 3.18d. Padea Gambar 3.18c, tahana R1 mempunyai fungsu ganda, yaitu sebagai sumber arus untuk basis Q1 dan Q2 dan sebagai tahanan beban bagi tingkat sebelumnya. Pada Gambar 3.18d, tahanan R1 ini digantikan oleh sebuah transistor PNP. Transistor PNP ini dapat berfungsi sebagai sumber arus konstan jika emiternya dihubungkan ke catu daya melalui sebuah tahanan. Tahanan ini merupakan tahanan bersama yang digunakan oleh semua gerbang pada rangkaian dan besarnya arus yang diinjeksikan Q4 menentukan titik kerja dari seluruh rangkaian. Inilah sebabnya mengapa rangkaian ini dinamai I2L atau integrated-injection logic.

Page 34: Perancangan Teknik Digital

Q4C1

C2

Q3

IN

(d)

A

B

D

C

F

E

H

I

(a)

Q1

Q2

R1

A

B

C D

EF

H

I

(b)

Q1

Q2

R1

(c)

Q5

A

Q6

B

(e)

Gambar 3.18 (a) Gerbang NAND; (b) Implementasi dengan DCTL; (c) Rangkaian ekivalen dengan I2L; (d) Gerbang NAND dengan I2L

Pada Gambar 3.18c Q1 dan Q2 bisa mendapat arus basis yang berbeda jika besarnya VBE dari kedua transistor berbeda. Hal ini tidak terjadi pada Gambar 3.18d karena pada rangkaian ini hanya digunakan satu transistor, yaitu Q3 sebagai pengganti Q1dan dan Q2.

3.9. PMOS DAN NMOS

Semua rangkaian logika yang telah dibahas menggunakan transistor bipolar sebagai elemen penguatnya. Pada kenyataanya, MOSFET (metal oxide semiconductor field effect transistor) sangat sesuai untuk IC logika karena dapat berfungsi sebagai penguat dan juga sebagai beban, sehingga mengeliminasi keperluan tahanan untuk beban, seperti halnya dengan I2L. Jika dibandingkan dengan tahanan biasa, MOSFET hanya membutuhkan luas area yang kecil sehingga jumlah komponen yang diintegrasikan dapat lebih banyak dan rangkaian dapat dibuat lebih rumit. Selain itu kopling antara elemen juga menjadi lebih sederhana karena langsung.

Ada dua jenis rangkaian logika dengan transistor MOS (metal oxide semiconductor). Yang pertama hanya menggunakan transistor dengan satu polaritas tertentu, dimana suatu gerbang

Page 35: Perancangan Teknik Digital

hanya mengandung transistor MOS jenis P sehingga disebut PMOS atau hanya jenis N sehingga disebut NMOS.

Jenis yang kedua ialah rangkaian logika yang mengandung transistor MOS jenis P dan jenis N pada chip yang sama sehingga diberi nama CMOS (Complementary metal oxide semiconductor). Walaupun lebih lambat jika dibandingkan dengan kelompok logika lain, CMOS memiliki beberapa kelebihan sehingga menjadi salah satu keluarga logika yang paling banyak digunakan.

Gambar 3.19 memperlihatkan rangkaian dari gerbang NAND jenis PMOS. Perhatikan bahwa tidak ada tahanan pada rangkaian tersebut. Rangkaian hanya terdiri dari tiga transistor sebagai elemen logika satu transisor sebagai pengganti tahanan beban. Current hogging seperti halnya pada keluarga DCTL tidak terjadi, karena masukan MOS tidak membutuhkan atau menarik arus masukan sama sekali sehingga tahanan masukan tidak diperlukan. Perangkat dengan mode enhancement lebih disukai ketimbang perangkat dengan mode depletion, karena perangkat enhancement dapat dibuat ON dengan memberi masukan sebesar VDD atau OFF dengan memberi masukan 0 Volt sehingga tidak membutuhkan catu daya ganda. Inilah sebabnya mengapa JFET (junction FET) yang umumnya merupakan perangkat jenis depletion tidak digunakan pada rangkaian logika.

-V DD

A B C

Q

OUTPUT

Drain

Source

Drain

Source

Drain

Source

Drain

Source

Gambar 3.19 Gerbang NAND jenis PMOS

Jika –VDD pada Gambar 3.15 adalah – 12 Volt maka tegangan masukan sebesar ini akan membuat tranisistor menghantar dan tegangan masukan sebesar 0 Volt akan membuat transistor tidak menghantar. Pada logika positip, 0 Volt adalah logika-1 dan – 12 Volt adalah logika-0 karena logika-1 dinyatakan oleh tegangan yang paling positip. Jika masukan A, B atau C pada Gambar 3.15 mendapat masukan sebesar – 12 Volt (logika-0), maka satu atau lebih dari transistor ini akan menghantar sehingga memiliki tahanan yang rendah antara drain dengan source-nya. Hal ini akan menyebabkan tegangan keluaran mendekati nol (logika-1). Tetapi jika masukan A, B dan C ketiganya mendpat masukan sebesar 0 Volt, maka tidak satupun dari ketiga transistor ini manghantar sehingga tegangan keluaran akan mendekati – VDD.

3.10. CMOS (COMPLEMENTARY METAL OXIDE SEMICONDUCTOR)

Walaupun penggunaan perangkat MOS untuk menggantikan tahanan dapat meningkatkan kepadatan rangkaian, namun transistor beban tetap menyerap daya dengan jumlah yang sama. Rangkaian ini memang hemat ukuran tetapi tidak hemat daya. Jika kedua jenis MOS (jenis p dan jenis n) digunakan pada rangkaian yang sama, kedua jenis transistor ini ditata dengan cara tertentu sehingga dapat menghemat pemakaian daya. Ini adalah kelebihan dari keluarga CMOS.

Page 36: Perancangan Teknik Digital

Bagaimana cara penghematan daya dilakukan akan dijelaskan pada bagian berikut ini dengan mengacu pada Gambar 3.20.

R1

+5V

OUTPUT

R2

OUTPUT

Q1

INPUT

+5V +5V

Q3

Q2

S3

S2

+5V

INPUT

OUTPUT

OUTPUT OUTPUTINPUT

+5V

(a) (b) (c) (d) (e)

S1

Gambar 3.20 Rangkaian-rangkaian sakelar digital

Salah satu kegunaan gerbang, flip-flop atau elemen lain didalam sistem digital ialah untuk menghasilkan perubahan tegangan yang besarnya berada dlam batas tertentu sebagai respons atas perubahan masukan yang standard. Pada Gambar 3.20 keluaran dari kelima rangkaian akan berubah dari 0 ke +5 Volt atau dari +5 ke 0 Volt, tergantung pada masukannya. Pada Gambar 3. 20a switching dilaksanakan secara mekanis dengan penutupa atau pembukaan sakelar S1. Jika S1 terbuka maka tegangan keluaran adalah +5 Volt sedangkan bila S1 tertutup maka tegangan keluaran menjadi 0 Volt. Pada Gambar 3. 20b sakelar S1 digantikan oleh sebuah transistor bipolar Q1 tetapi efeknya adalah sama. Pada Gambar 3. 20c transistor bipolar digantikan oleh transistor NMOS Q2 dan tahanan R1 digantikan oleh transistor NMOS Q3 yang berfungsi sebagai tahanan. Perhatikan bahwa rangkaian a, b dan c memiliki sifat yang sama. Jika S1 ditutup maka daya akan diserap oleh tahanan R1. Jika transistor Q1 menghantar maka daya akan diserap oleh tahanan R2. Jika Q2 menghantar maka daya akan diserap oleh Q3. Jika S1 dibuka dan Q1 serta Q2 tidak menghantar maka daya yang diserap hampir tidak ada.

Rangkaian pada Gambar 3.20d juga dapat digunakan untuk mengatur aras tegangan keluaran. Jika S2 dibuka dan S3 ditutup maka tegangan keluaran adalah +5 Volt; dan jika S2 ditutup dan S3 dibuka maka tegangan keluaran adalah 0 Volt Kelebihan rangkaian ini ialah tidak ada daya yang diserap atau terbuang pada kedua keadaan keluaran. Hal yang sama juga terjadi dengan rangkaian pada Gambar 3.20e. Rangkaian ini menggunakan transistor komplemen MOS (CMOS). Jika tegangan masukan 0 Volt maka Q4 tidak menghantar dan Q5 menghantar sehingga tegangan keluaran adalah +5 Volt. Karena Q4 tidak menghantar maka tidak ada arus yang mengalir sehingga tidak ada daya yang hilang. Jika tegangan masukan +5 Volt maka Q4 menghantar dan Q5 tidak menghantar sehingga tegangan keluaran menjadi 0 Volt. Sekali lagi, karena Q5 tidak menghantar maka tidak ada arus yang mengalir sehingga tidak ada daya yang hilang kecuali yang disebabkan arus bocor dari komponen. Namun demikian pada peralihan tegangan gate, sejumlah daya akan diserap karena untuk beberapa saat kedua transistor akan menghantar secara bersamaan. Oleh karena itu besarnya daya yang dikonsumsi oleh CMOS adalah merupakan fungsi dari frekuensi. Semakin tinggi frekuensi semakin besar daya yang dibutuhkan. Untuk frekuensi diatas 1 MHz konsumsi daya CMOS mulai mendekati TTL. Perhatikan bahwa rangkaian pada Gambar 3.20e adalah merupakan gerbang pembalik (inverter).

Page 37: Perancangan Teknik Digital

OUTPUT

INPUT

+V DD

(a)

A

B

C

Q1 Q2

Q3

Q4

(b)

Q3

Q1

OUTPUT

Q2

Q4

C

+V DD

Gambar 3.21 (a) Gerbang NOR CMOS (b) Rangkaian ekivalen

Gambar 3.21a memperlihatkan bagaimana mengimplementasikan gerbang NOR. Q1 dan Q2 adalah transistor MOS jenis n sementara Q3 dan Q4 adalah transistor MOS jenis p. Tegangan dari masukan A dan B berkisar antara +VDD (logika-1) dan bumi (logika-0). Agar Q1 dan Q2 menghantar dan berfungsi seperti switch seperti yang diperlihatkan pada Gambar 3.20b, masukan A dan B harus menerima logika-1. Logika-1 pada kedua masukan ini juga akan menyumbat Q3 dan Q4 sehingga kedua transistor ini berfungsi sebagai switch yang terbuka, seperti yang diperlihatkan pada Gambar 3.21b. Oleh karena itu jika A = B = 1, maka C = 0. Pemberian kombinasi dari masukan pada A dan B akan menghasilkan kedudukan switch seperti yang diperlihatkan pada Tabel 3.4.

Tabel 3.4 Tabel kebenaran rangkaian Gambar 3.16

A B C

0

0

1

1

0

1

0

1

1

0

0

0

Perhatikan bahwa semua kemungkinan yang digambarkan oleh Tabel 3.4 menyebabkan paling tidak satu switch dari +VDD ke bumi akan terbuka sehingga gerbang hanya mengkonsumsi arus bocor yang sangat kecil pada setiap keadaan.

Sebagai tambahan, CMOS mampu untuk beroperasi dengan kisar tegangan catu yang cukup besar, yaitu dari 3 sampai 18 Volt. Perangkat dengan tegangan catu seperti ini dapat bekerja sama dengan perangkat logika yang menggunakan tegangan catu sebesar 5 Volt jika menggunakan rangakaian antarmuka (interface) yang sesuai. CMOS juga dapat menggunakan tegangan catu 15 Volt sehingga dapat digunakan pada aplikasi dimana rangkaian digital bekerja sama dengan rangkaian analog, seperti opamp (operational amplifier) yang umumnya menggunakan catu daya ganda ±15 Volt. Karena CMOS bekerja lebih cepat jika menggunakan catu daya +15 Volt dibandingkan dengan jika menggunakan catu daya +5 Volt, maka pada penggunaan yangmembutuhkan kecepatan, catu daya +15 Volt sering digunakan.

Page 38: Perancangan Teknik Digital

Sejauh ini telah dikemukakan kelebihan dari CMOS, yaitu penggunaan daya yang rendah dan kepadatan komponen yang tinggi. Kepadatan komponen yang tinggi memungkinkan chip untuk mengakomodasi rangkaian yang lebih rumit. Disamping kelebihan ini, CMOS juga punya kekurangan, yaitu lambat. Namun variasi dalam teknik pabrikasi memungkinkan peningkatan kecepatan dari perangkat ini. Dengan menggunakan teknik silicon-on-sapphire MOS (SOS/MOS) kecepatan dapat ditingkatkan antara dua hingga empat kali CMOS standar.

3.11. LOGIKA TIGA KEADAAN (THREE STATE LOGIC)

Pada banyak sistem digital, pengkawatan (wiring) dan jumlah komponen dapat dikurangi dengan menggunakan teknik wire-ORing. Gambar 3.22 memperlihatkan dua gerbang NOR jenis RTL dengan kolektor dihubungkan satu sama lain (seperti yang diprlihatkan oleh garis putus-putus) guna mendapatakan keluaran tunggal.

Q1 Q2

+V CC

OUTPUT

Gambar 3.22 Wire-ORed RTL

Pemberian logika-1 pada salah satu atau keempat masukan akan menghasilkan keluaran logika-0. Oleh karena itu rangkaian OR dengan dua masukan digabungkan guna mendapatkan sebuah gerbang OR dengan empat masukan. Hal yang penting disini ialah tidak ada satupun kombinasi masukan yang dapat merusak transistor Q1 atau Q2. Tidak demikian halnya dengan keluarga logika yang menggunakan active pullup dan active pulldown, seperti halnya dengan rangkaian CMOS pada Gambar 3.21. Jika dua keluaran dihubungkan satu sama lain maka kombinasi masukan tertentu dapat mengakibatkan hubung singkat antara +VDD dengan bumi atau paling tidak membentuk lintasan dengan impedansi rendah. Besarnya arus hubung singkat dibatasi oleh konduktansi transistor pada saat menghantar atau tahanan dalam dari catu daya. Transistor mungkin akan rusak, mungkin juga tidak. Tetapi paling tidak konsumsi daya akan naik dan tegangan keluaran akan bernilai antara +VDD dan bumi, sehingga memiliki nilai logika yang tidak pasti. Kondisi ini berlaku untuk keluarga logika yang menggunakan active pullup dan active pulldown. Selain pada CMOS, Kondisi ini berlaku juga padaTTL. Kedua keluarga ini memiliki dua keadaan keluaran yang pada logika positip, logika-0 berarti keluaran dihubungkan melalui impedansi rendah ke catu daya positip dan logika-0 berarti keluaran dihubungkan melalui impedansi rendah ke bumi. Tetapi selain itu, bisa dirancang suatu rangkaian pullup yang yang memiliki keadaan yang ketiga sebagai tambahan bagi dua keadaan yang telah ada. Kegunaan dari keadaan ketiga ini ialah untuk memungkinkan wired-ORing rangkaian active pullup. Pada keadaan yang ketiga ini, keluaran tidak dihubungkan ke +VDD dan tidak juga ke bumi sehingga berstatus mengambang. Keluaran gerbang dengan tiga keadaan ini dapat dihubungkan satu sama lain tanpa merusak berbang-gerbang tersebut karena tidak menyebabkan hubung singkat pada catu daya. Pada dua keadaan lainnya, active pullup dan active pulldown berfungsi seperti

Page 39: Perancangan Teknik Digital

biasa. Tabel kebenaran dari inverter tiga keadaan ini diperlihatkan pada Tabel 3.5 sedangkan implementasinya pada CMOS dan simbolnya diperlihatkan pada Gambar 3.23a dan b.

Tabel 3.5 Tabel kebenaran inverter tiga-keadaan

Input Enable Output

1 1 0

0 1 1

x 0 High Impedance

I1V DD

INPUT OUTPUT

ENABLE Q1

Q2

Q3

Q4

(a) (b)

ENABLE

INPUT OUTPUT

Gambar 3.23 (a) Rangkaian inverter tiga keadaan (b) Simbol

Q2 dan Q3 membentuk inverter biasa. Seperti dijelaskan sebelumnya, menghubungkan dua keluaran gerbang dapat mnyebabkan konsumsi daya berlebihan, merusak rangkaian dan menghasilkan logika keluaran yang tidak pasti. Q1 dan Q4 berfungsi untuk mencegah maslah-masalah ini. Pemberian nilai logika rendah pada masukan enable akan membuat Q1 dan Q4 tidak menghantar sehingga keluaran akan diisolir dari catu daya dan bumi. Inverter I1 berfungsi untuk memberi tegangan masukan bagi Q4 yang berlawanan dengan tegangan masukan bagi Q1. Dari Tabel 3.5 terlihat bahwa untuk masukan ENABLE diberi logika-1 maka rangkaian akan berfungsi sebagai inverter biasa tetapi jika masukan ENABLE diberi logika-0 maka impedansi keluaran menjadi tinggi dan tidak dipengaruhi oleh nilai masukan.sehingga nilai logika keluaran akan ditentukan oleh rangkaian lain yang tersambung pada keluaran tersebut. Sebagai contoh, sepuluh inverter tiga keadaan dapat dihubungkan dengan cara wired-ORed, atau dihubungkan satu sama lainnya. Sembilan inverter dapat di-disable sehingga nilai keluarannya memiliki impedansi tinggi. Inverter yang kesepuluh di-enable nilai keluaran ditentukan oleh inverter ini. Tegangan keluaran dari sembilan inverter yang di- disable akan sama dengan atau mengikuti tegangan keluaran inverter yang di-enable.

Page 40: Perancangan Teknik Digital

Perhatikan bahwa wired-ORed tidak hanya dapat diterapkan pada gerbang OR, tetapi dapat juga diterapkan pada gerbang-gerbang lain, seperti NOR, NAND dan lain-lainnya. Tetapi hasilnya seringkali tetap disebut sebagai wired-ORed. Logia tiga keadaan sering digunakan untuk multipleks, bus data, data routing dan lain sebagainya. Ini juga dpat digunakan pada multipleks analog.

3.12. BEBERAPA PERTIMBANGAN PRAKTIS

Seperti pada rangkaian elektronik lainnya, ada sejumlah hal praktis yang harus dipertimbangkan pada penggunaan keluarga logika digital. Sebagai contoh, jika bekerja dengan TTL seringkali dinyatakan bahwa +5 Volt merepresentasikan logika-1 dan 0 Volt merepresentasikan logika-0. Pada prakteknya, logika-1 dan logika-0 dinyatakan oleh kisar tegangan. Kisar tegangan ini berbeda untuk masukan dan keluaran guna memastikan agar sebarang keluaran selalu lebih dari mampu untuk men-drive sebarang masukan pada kondisi yang paling buruk. Sebagai contoh, untuk TTL kisar tegangan keluaran adalah dari 0 hingga 0,4 Volt untuk logika-0 dan dari 2,4 hingga 5,0 Volt untuk logika-1. Sedangkan kisar tegangan masukan untuk TTL adalah dari 0 sampai 0,8 Volt untuk logika-0 dan dari 2,0 hingga 5,0 Volt untuk logika-1. Dengan demikian maka tegangan keluaran terburuk sebesar 2,4 Volt untuk menyatakan logika-1adalah lebih lebih dari cukup untuk tegangan minimum yang diperlukan, yaitu 2,0 Volt.

Kisar tegangan untuk logika-1 dan logika-0 menentukan faktor derau (noise margin) yang merupakan perbedaan antara tegangan masukan dan keluaran terburuk untuk aras logika tersebut. Sebagai contoh, untuk TTL :

Faktor derau logika-1 = 2,4 – 2.0 = 0,4 Volt

Faktor derau logika-0 = 0,8 – 0,4 = 0,4 Volt

Pertimbangan yang sama harus dilakukan pada penggunaan keluarga logika yang lain. Nilai spesifik harus dilihat pada buku data yang bersangkutan.

Beberapa keluarga logika seperti TTL membutuhkan arus yang cukup besar jika jumlah IC yang digunakan cukup banyak. Arus yang mengandung banyak transien seringkali disalurk an melalui kabel untuk mencapai PCB atau rak pada suatu sistem sehingga menimbulkan tegangan kejut (spike) pada kabel daya. Untuk menghindarkan kesalahan pemicuan pada gerbang atau flip-flop, dibutuhkan catu daya yang diregulasi dan kapasitor decoupling pada setiap PCB. Seringkali sejumlah decoupling diperlukan pada sebuah PCB.

Tergantung pada keluarga logika yang digunakan dan kecepatan yang dibutuhkan, kadang-kadang diperlukan rangkaian antarmuka khusus yang disebut line driver/receiver untuk mengirimkan sinyal dengan jarak kurang dari 1 meter. Tanpa rangkaian antarmuka ini, tundaan, pantulan dan pembebanan induktip serta kapasitip dapat merusak data yang dikirmkan. Pada TTL, rangkaian antarmuka ini mengubah sinyal TTL menjadi sinyal yang sesuai dengan saluran transmisi. Rangkaian ini tersedia dalam berbagai bentuk. Yang paling sederhana ialah pengirim/penerima dengan keluaran tunggal dan jalur bumi kembali (ground return). Untuk saluran yang panjangnya mencapai beberapa ratus meter, dianjurkan untuk menggunakan balanced differential transmitter/receiver dengan pasangan kawat berpilin (twisted pair) dan dengan jalur bumi kembali. Pasangan kawat berpilin dapat mengeliminasi arus derau yang diinduksi dan banyak lagi derau yang dapat dihilangkan karena sifat diferensial dari rangkaian.

Masalah lain muncul pada saat menghubungkan gerbang-gerbang logika dari keluarga yang berbeda karena satu keluarga mungkin menghasilkan tegangan dan arus yang tidak cukup untuk menggerakka keluarga lain. Sebagai contoh adalah jika menggunakan CMOS dengan catu daya +15 Volt untuk menggerakkan TTL. Pada kasus ini kisar tegangan masukan terlalu besar untuk TTL namun arus masukannya terlalu kecil. Setiap kasus harus diperhitungkan dengan benar dan rangkaian antar muka untuk keperluan tersebut harus disediakan.

Page 41: Perancangan Teknik Digital
Page 42: Perancangan Teknik Digital

BAB 4. PEMBEBANAN Pada penyambungan keluaran suatu gerbang ke masukan gerbang lain, perlu dijaga agar masukan gerbang ini tidak terlalu membebani gerbang penggerak. Ini penting agar kisar tegangan yang diterima masih berada dalam batas-batas yang seharusnya.

Hal ini dapat dijelaskan melalui Gambar 4.1.

R O1

R IN2

IO1

V IN2V O2V O1R IN1

R O2

Gerbang 1 Gerbang 2

Gambar 4.1 Rangkaian pembebanan

Besarnya arus keluaran gerbang 1 adalah :

IO1 = VO1 / (RO1 + RIN2)

Besarnya tegangan pada masukan gerbang 2 adalah :

VIN2 = IO1 x RIN2

= RIN2 x VO1 / (RO1 + RIN2)

Jadi semakin kecil RIN2 maka semakin kecil pula tegangan masukan VIN2. Jika tegangan masukan ini terlalu kecil maka ada kemungkinan bahwa tegangan ini tidak mencapai nilai minimum untuk logika-1. Untuk mendapatkan tegangan masukan yang besar, diperlukan tahanan masukan yang besar. Pada rangkaian logika, tegangan masukan yang terlalu kecil tidak dapat diterima sebagai logika-1. Oleh karena itu dalam penyambungan gerbang, perlu diperhitungkan agar tidak terjadi pembebanan berlebihan agar tegangan masukan pada gerbang beban dapat masuk ke kisaran tegangan untuk logika-1.

4.1. PEMBEBANAN PADA KELUARGA TTL

Pada keluarga logika jenis TTL, masukan umumnya dihubungkan pada emiter dari transistor bipolar seperti yang diperlihatkan pada Gambar 4.2.

Page 43: Perancangan Teknik Digital

Q 2

R 1

R 2

V CC

OUTPUT

INPUT

GROUND

A

B

Q 1

R 3

Q 3

Q 4

D 1

Gambar 4.2 Rangkaian TTL

Untuk logika-1, arus masukan (IOH) sangat kecil karena hanya merupakan arus bocor dari emiter ke kolektor dari Q1. Arus masukan bersumber dari gerbang penggerak menuju ke emiter Q1 sehingga mengalir kedalam. Tetapi untuk logika-0, arus masukan (IOL) lebih besar karena merupakan jumlah dari arus basis dan kolektor dari Q1. Arus ini bersumber dari Q1 menuju ke gerbang penggerak sehingga mengalir keluar. Hal ini dapat dilihat pada Gambar 4.3.

Q 3

Q 4

D 1

R 1

Q 1

-I IL

Q 3

Q 4

D 1

R 1

Q 1

I IH

(a) (b)

Gambar 4.3 (a) Arus keluaran logika-1 (b) Arus keluaran logika-0

4.1.1. Fan-in dan Fan-out

Perbedaan arus masukan untuk logika-0 dan logika-1 pada keluarga TTL menyebabkan impedansi masukan sulit untuk ditentukan. Oleh karena itu, sebagai gantinya digunakan istilah fan-in. Fan-in menggambarkan seberapa besar suatu masukan membebani rangkaian penggeraknya. Satuan yang digunakan untuk ini ialah U.L (unit load). Satu U.L adalah setara dengan suatu masukan gerbang TTL standard. Dengan kata lain, suatu gerbang TTL standard memiliki fan-in = 1 U.L atau secara singkat dapat dinyatakan sebagai fan-in = 1.

Pada rangkaian logika, komponen yang digunakan tidak hanya terbatas pada gerbang, tetapi juga meliputi beberapa piranti lain, seperti flip-flop, register, pencacah dan lain sebagainya. Piranti-piranti ini umumnya mempunyai fan-in yang lebih besar dari 1. Sebagai contoh, fan-in dari masukan clock dari flip-flop JK jenis 7476 adalah 2. Oleh karena itu masukan ini adalah setara dengan dua buah gerbang standard yang diparalel. Oleh karena itu dalam perancangan perlu diketahui besarnya fan-in dari semua piranti agar beban total dari suatu penggerak tidak melampaui kemampuannya.

Page 44: Perancangan Teknik Digital

Hal yang sama terjadi pada bagian keluaran. Pada keluarga TTL, besarnya arus keluaran pada logika-0 (IOL) tidak sama dengan arus keluaran untuk logika-1 (IOH) sehingga sulit untuk menentukan impedansi keluaran. Oleh karena itu sebagai gantinya digunakan fan-out dengan satuan yang sama dengan masukan, yaitu U.L (unit load). Besarnya fan-out suatu piranti menunjukkan jumlah masukangerbang standard yang dapat digerakkan (di-dr4e) oleh gerbang tersebut. Sebagai contoh, suatu gerbang dengan fan-out = 10 dapat menggerakkan 10 buah gerbang dengan fan-in = 1, atau dapat menggerakkan 5 buah gerbang dengan fan-in = 2.

4.1.2. Terminasi masukan yang tidak digunakan

Pada rangkaian logika, sering ditemui piranti dengan masukan lebih dari satu, sebagai contoh, gerbang NAND dengan 3 masukan. Dalam pemakaiannya mungkin hanya diperlukan dua masukan sehingga dua masukan digabung sementara yang satu lagi berdiri sendiri, seperti yang diperlihatkan pada Gambar 4.4.

(a) (b)

Q 3

Q 4

D 1

R 1

Q 1

N1 N2

A B

C

N2

A

B

C

N1

Gambar 4.4 Penggabungan masukan

Penggabungan dua masukan ini berdampak pada arus masukan. Pada Gambar b terlihat bahwa beban dari keluaran gerbang N1 adalah masukan A dan B dari gerbang N2. Untuk keluaran logika-0 hal ini tidak berakibat apa-apa tetapi untuk keluaran logika-1 hal ini akan memperbesar nilai arus keluaran. Hal ini dapat dilihat pada Tabel 4.1.

Tabel 4.1 Pengaruh penggabungan masukan dengan arus masukan

Jumlah masukan yang digabung

Arus masukan yang dibutuhkan

IOL IOH

1

2

3

.

.

1 x IOL

1 x IOL

1 x IOL

.

.

1 x IOH

2 x IOH

3 x IOH

.

.

N 1 x IOL N x IOH

Page 45: Perancangan Teknik Digital

Dari tabel ini terlihat bahwa yang berubah akibat penggabungan masukan adalah IOH. Hal ini dapat diatasi dengan menghubungkan masukan yang tak terpakai ke VCC atau GND, tergantung dari gerbang yang digunakan. Pada gerbang AND atau NAND, masukan yang tak terpakai sebaiknya dihubungkan ke VCC sementara pada gerbang OR ata NOR, masukan yang tak terpakai sebaiknya dihubungkan ke GND. Hal ini diperlihatkan pada Gambar 4.5.

(a)

A

B

C

N1

A

B

C

N1 V CC

(b)

Gambar 4.5 Terminasi masukan tak terpakai (a) Untuk gerbang AND atau NAND (b) Untuk gerbang OR atau NOR

Dengan demikian maka tidak ada tambahan arus masukan sehingga beban dari piranti penggerak menjadi lebih ringan.

Rangkaian TTL memiliki suatu kelebihan, yaitu masukan yang terbuka akan bernilai logika-1. Jadi jika memungkinkan maka masukan yang tak terpakai dapat dibiarkan terbuka sehingga bernilai logika-1. Tetapi jika masukan ini berdekatan dengan suatu penghantar, ada kemungkinan bahwa masukan ini akan terinduksi oleh penghantar tersebut. Selain itu masukan ini mungkin juga akan mendapat gangguan berupa derau (noise). Oleh karena itu sebaiknya masukan yang tak terpakai dihubungkan kesuatu titik yang mempunyai tegangan yang sesuai agar tidak dipengaruhi oleh derau ataupun terkena induksi.

4.1.3. Penggabungan seri TTL yang berbeda

Piranti TTL memiliki beberapa seri, antara lain ialah :

        Standard

        Low Power

        High Speed

        Schottky

        Low Power Schottky

Dalam suatu rangkaian, seringkali digunakan beberapa seri yang berbeda untuk mendapatkan hasil yang optimal. Sebagai contoh, seri high speed digunakan pada bagian aritmatika sementara seri standard digunakan pada bagian lain. Perhitungan pembebanan yang paling efisien dalam kasus ini ialah dengan menghitung arus yang sebenarnya.

Page 46: Perancangan Teknik Digital

D 1

N1

500 4K 40K 40K

Seri 74 Seri 74L Seri 74L

A B B 1 B 2 C C 1 C 2

V CC

GND

Gambar 4.6 Beban campuran dari suatu gerbang

Sebagai contoh, misalkan satu masukan gerbang seri standard (A), dua masukan gerbang seri low power 74L (B dan C) dan empat masukan tak terpakai (B1, B2, C1 dan C2) dari seri 74L menjadi beban dari suatu penggerak (N1), seperti yang diperlihatkan pada Gambar 4.6.

Besarnya arus masukan untuk masukan-masukan ini tidak sama karena merupakan masukan dari seri yang berbeda. Perhitungan arus dari rangkaian ini dapat dilihat pada Tabel 4.2.

Tabel 4.2 Perhitungan beban dari Gambar 4.6.

Kemampuan Penggerak Beban

ILOAD = 100 uA ISINK = 2mA

Kelebihan : IIH = 0 uA IIL = 0,04 mA

A IIH = 40 uA IIL = 1,60 mA

B IIH = 10 uA IIL = 0,18 mA

B1 IIH = 10 uA IIL = 0,00 mA

B2 IIH = 10 uA IIL = 0,00 mA

C IIH = 10 uA IIL = 0,18 mA

C1 IIH = 10 uA IIL = 0,00 mA

C2 IIH = 10 uA IIL = 0,00 mA

Total 100 uA 1,96 mA

Page 47: Perancangan Teknik Digital

Kemampuan keluaran DC dari suatu seri TTL untuk menggerakkan seri TTL lain dapat dihitung seperti yang diperlihatkan pada Tabel 4.3.

Tabel 4.3 Kemampuan suatu seri TTL untuk menggerakkan seri lain

Seri 74 menggerakkan seri 74H

ILOAD = 400 uA / 50 uA = 8 beban

ISINK = 16 mA / 2 mA = 8 beban

Seri 74 menggerakkan seri 74L

ILOAD = 400 uA / 10 uA = 40 beban

ISINK = 16 mA / 0,18 mA = 88,8 beban

Seri 74H menggerakkan seri 74

ILOAD = 500 uA / 40 uA = 12,5 beban

ISINK = 20 mA / 1,6 mA = 12,5 beban

Seri 74H menggerakkan seri 74L

ILOAD = 500 uA / 10 uA = 50 beban

ISINK = 2 mA / 1,6 mA = 1,25 beban

Seri 74L menggerakkan seri 74

ILOAD = 100 uA / 40 uA = 2,5 beban

ISINK = 20 mA / 0,18 mA = 111,1 beban

Seri 74L menggerakkan seri 74H

ILOAD = 100 uA / 50 uA = 2 beban

ISINK = 2 mA / 2 mA = 1 beban

Perhitungan beban akan lebih mudah dilakukan jika besaran beban dinormalisasi ke besaran penggerak. Dengan cara ini, jumlah beban yang dapat dilayani hanya merupakan hasil pembagian fan-out dari penggerak dengan fan-in beban yang telah dinormalisasi ke besaran masukan penggerak. Sebagai contoh, fan-in dari seri 74H adalah 1,25 kali fan-in seri 74L sedangkan fan-out 74L adalah 10 kali fan-in 74L. Oleh karena itu maka jumlah beban seri 74H yang dapat dilayani oleh 74L adalah :

Total beban = 10 / 1,25

= 8 beban

Kemampuan dari berbagai kombinasi seri TTL diperlihatkan pada Tabel 4.4.

Tabel 4.4 Generalisasi kemampuan Fan-out ke berbagai beban

Seri 74 menggerakkan seri 74H

10 / 1,25 = 8 beban

74H dinormalisasi ke 74

Seri 74 menggerakkan seri 74L

10 / 0,25 = 40 beban

74L dinormalisasi ke 74

Page 48: Perancangan Teknik Digital

Seri 74H menggerakkan seri 74

1,25 / 1 = 1,25 beban

74 dinormalisasi ke 74H

Seri 74H menggerakkan seri 74L

1,25 / 0,025 = 50 beban

74L dinormalisasi ke 74H

Seri 74L menggerakkan seri 74

1,25 / 1 = 1,25 beban

74 dinormalisasi ke 74L

Seri 74L menggerakkan seri 74H

1,25 / 1,25 = 1 beban

74H dinormalisasi ke 74L

Masing-masing varian ini memiliki IOL dan IOH yang berbeda. Oleh karena itu jika dalam suatu rangkaian digunakan berbagai jenis varian, perlu dihitung beban total agar penggerak tidak kelebihan beban.

4.2. PEMBEBANAN PADA KELUARGA CMOS

Berbeda dengan piranti TTL, piranti CMOS memiliki impedansi masukan yang sangat besar sehingga praktis tidak membutuhkan arus masukan tetapi hanya tegangan masukan. Kalaupun ada arus masukan maka arus ini hanya disebabkan oleh kebocoran isolasi antara gate dengan source atau antara gate dengan drain. Untuk kondisi DC jumlah beban yang dapat dilayani piranti CMOS secara teoritis adalah tak terhingga.

Pada kondisi AC keadaannya jadi berbeda. Pada kondisi ini kapasitansi beban akan membebani penggerak. Kapasitansi beban ini merupakan gabungan antara kapasitansi masukan dari beban dengan kapasitansi stray yang terbentuk dari dua konduktor yang penghubung masukan. Reaktansi dari kapasitansi ini adalah :

XC = 1 / (2fC)

dimana f = frekuensi (Hertz)

C = kapasitansi (Farad)

Dari persamaan ini terlihat bahwa reaktansi ini akan semakin kecil jika frekuansi kerja semakin tinggi. Kapasitansi dengan resistansi keluaran dari rangkaian penggerak akan membentuk suatu tapis lolos bawah atau LPF (low pass filter) yang akan meredam tegangan masukan seperti diperlihatkan pada Gambar 4.7.

R O1

C IN2

IO1

V IN2 V O2V O1R IN1

R O2

Penggerak Beban

C STR

Gambar 4.7 Pengaruh kapasitansi pada tegangan masukan

Page 49: Perancangan Teknik Digital

Pada frekuensi tertentu tegangan masukan dari beban tidak dapat mencapai nilai tegangan yang dibutuhkan untuk logika-1 ataupun logika-0 sehingga rangkaian akan gagal unutk bekerja. Hal ini dapat dilihat pada Gambar 4.8.

MasukanBeban

1

0

KeluaranPenggerak

1

0

Gambar 4.8 Hubungan antara tegangan kaluaran dengan tegangan masukan

4.2.1. Terminasi masukan tak terpakai

Besarnya tahanan masukan dari CMOS menyebabkan piranti ini sangat peka terhadap muatan listrik statis. Muatan listrik statis yang kecil dapat mambangkitkan tegangan masukan yang sangat besar sehingga dapat merusak bagian masukan CMOS. Muatan listrik statis ini bisa berasal dari tangan manusia ataupun sumber-sumber lain. Oleh karena itu piranti CMOS sebaiknya jangan disentuh, khususnya sebelum terpasang ke rangkaian. Hal ini dapat dijelaskan dengan rangkaian yang diperlihatkan pada Gambar 4.9.

IIN

V IN

R O1

V O1R IN1

Gambar 4.9 Hubungan arus masuka dengan tegangan masukan

Pada rangkaian ini, besarnya tegangan masukan adalah :

VIN = IIN x RIN1

Jika RIN1 = ¥ maka

VIN = IIN x ¥

= ¥

Tegangan masukan yang terlalu besar akan menembus isolasi masukan CMOS sehingga merusak rangkaian. Oleh karena itu masukan yang tak terpakai tidak boleh dibiarkan terbuka, tetapi harus dibumikan atau dihubungkan ke catudaya, tergantung pada rangkaian yang digunakan. Sebagai contoh, untuk rangkaian AND atau NAND, masukan yang tak terpakai harus dihubungkan ke catudaya (VDD) sedangkan pada rangkaian OR atau NOR, masukan yang tak terpakai harus dibumikan seperti yang diperlihatkan pada Gambar 4.10.

Page 50: Perancangan Teknik Digital

V DD

Gambar 4.10 Terminasi masukan tak terpakai

Beberapa seri CMOS menggunakan proteksi pada bagian masukannya untuk melindunginya dari tegangan lebih akibat lucutan muatan listrik statis. Rangkaian pengaman terdiri dari sebuah tahanan dan dua buah dioda seperti yang diperlihatkan pada Gambar 4.11.

OUTPUTINPUT

+5V

D1

D2

R

Q1

Q2

Gambar 4.11 Proteksi masukan pada inverter

Seri ini lebih aman untuk digunakan namun masukan tak terpakai tetap harus diterminasi agar tidak terpengaruh oleh sinyal ataupun derau yang tak diinginkan. Selain itu penambahan dioda pada masukan memperbesar kapasitansi masukan sehingga piranti ini menjadi sedikit lebih lambat.

Page 51: Perancangan Teknik Digital

BAB 5. ANTARMUKADalam suatu rancangan, seringkali harus digunakan gerbang-gerbang dari keluarga logika yang berbeda dengan tegangan kerja yang berbeda. Hal ini kadang-kadang menimbulkan masalah yang disebabkan oleh ketidaksesuaian dari keluarga-keluarga logika tersebut. Ketidak sesuaian ini antara lain meliputi :

Tegangan catudaya Impedansi Aras tegangan masukan / keluaran

Masalah-masalah ini dapat diatasi dengan menggunakan perangkat antarmuka jika ada. Jika tidak ada, perlu dirancang suatu rangkaian untuk keperluan tersebut.

5.1. ANTARMUKA TTL DAN DTL

Kedua keluarga ini mempunyai kemampuan untuk menarik arus dan beroperasi pada tegangan yang sama, yaitu 5 Volt sehingga dapat bekerjasama dengan baik. Jika TTL digunakan untuk menggerakkan DTL maka setiap masukan DTL merupakan beban sebesar 1 U.L (unit load) pada logika rendah dan kurang dari 1 U.L pada logika tinggi. Jika DTL digunakan untuk menggerakkan TTL maka keluaran 2 k mampu untuk menggerakkan 8 U.L sedangkan keluaran 6 k mampu untuk menggerakkan 4 U.L.

5.2. ANTARMUKA TTL DAN ECL

Kemungkinan untuk menggunakan TTL bersama-sama dengan ECL memugkinkan para perancang untuk merancang rangkaian yang mampu bekerja sampai ke spektrum VHF (Very high frequency), seperti pengukuran frekuensi, kontrol dan operasi logika lain.

Kelebihan dari ECL ialah kecepatannya yang tinggi. Tetapi masalah-masalah dalam antarmuka dan aplikasi menyebabkan keluarga ini jarang digunakan, khususnya untuk rancangan yang murah. Penggunaan antarmuka ECL/TTL membuka peluang untuk menggunakan ECL pada rancangan yang murah.

5.2.1. TTL ke ECL

Antarmuka yang paling mudah ialah dengan menggunakan catu daya bersama sebesar 5 Volt seperti yang diperlihatkan pada Gambar 5.1a.

Page 52: Perancangan Teknik Digital

R1180

R2110

R3750

TTLECL

+5V

R1470

R2750

+5V

-5,2V

ECL

TTL

(a) (b)

Gambar 5.1 Antarmuka TTL dengan ECL

Tahanan R1 berfungsi untuk membatasi arus keluaran dari TTL sedangkan R2 dan R3 berfungsi untuk menentukan atau membatasi kisar tegangan masukan dari ECL. Keluarga ECL umumnya menggunakan catudaya negatip, seperti diperlihatkan pada Gambar 5.1b. Pada rangkaian ini, tegangan keluaran TTL digeser kedaerah negatip oleh R1 dan R2 agar sesuai dengan kebutuhan ECL.

5.2.2. ECL ke TTL

Pada pemakaian dengan catudaya bersama, ECL dapat menggerakkan TTL dengan menggunakan rangkaian seperti yang diperlihatkan pada Gambar 5.2a. Pada rangkaian ini keluaran utama dan keluaran komplemen ECL digunakan untuk mengatur transistor Q1 yang berfungsi sebagai sumber arus bagi masukan TTL.

R2 22

Q1

R12K

R31K

R4220

ECL

TTL

+5,2V

2402K 2K

330

+5V

-5V

TTL

ECL

(a) (b)

Gambar 5.2 Antarmuka ECL ke TTL

Pada penggunaan dengan catudaya terpisah, rangkaian pada Gambar 5.2b dapat digunakan. Pada rangkaian ini keluaran ECL disangga oleh penguat diferensial guna membangkitkan arus masukan bagi TTL, sekaligus sebagai penggeser tegangan.

Page 53: Perancangan Teknik Digital

5.3. TTL KE CTL

CTL (complementary transistor logic) merupakan rangkaian logika berkecepatan tinggi yang umumnya digunakan pada komputer. Keluarga ini menggunakan gerbang AND dan keluaran wired-OR untuk keluwesan tetapi aras logika tidak dipulihkan pada setiap gerbang. Oleh karena itu pemulihan harus dilakukan dengan menggunaka penyangga. Antarmuka harus dilakukan setelah pemulihan dilakukan. Ambang masukan adalah 1 Volt, sama dengan TTL tetapi arus sebesar 1 hingga 2 mA diperlukan untuk menggerakkan masukan CTL agar dapat melampaui ambang.

Keluaran TTL normal dapat menggerakkan masukan CTL tetapi kekebalan derau akan jauh lebih baik dengan menambahkan sebuah tahanan pull-up, seperti yang diperlihatkan pada Gambar 5.3. Pengikut emiter dari CTL dapat mengeluarkan arus sampai sekitar 30 mA tetapi tidak dapat menarik arus. Oleh karena itu dibutuhkan sebuah tahanan pull-down. Jika dihubungkan ke bumi maka nilai tahanan tidak boleh lebih besar dari 250 untuk menjamin agar VOL < 400 mV pada fan-out 1 U.L.

2K

1K

CTL

1K

V CC

+4,5V

-2V atau bumi

TTL

Gambar 5.3 Antarmuka TTL ke CTL

Cara yang lebih baik ialah dengan menggunakan tahanan internal yang dihubungkan ke –2 Volt. Untuk meningkatkan fan-out, tahanan ini dapat diparalel dengan sebuah tahanan luar seperti yang diperlihatkan pada Gambar 5.4.

2K

1K

CTL

+4,5V

-2V

TTL

Tahanan tambahanuntuk memprbesarfan-out

Page 54: Perancangan Teknik Digital

Gambar 5.4 Antarmuka CTL ke TTL

5.4. ANTARMUKA TTL DENGAN CMOS

Jika menggunakan catudaya 5 Volt, keluaran CMOS seri B (buffered) dijamin dapat menarik arus sampai 0,4 mA pada VOL = 0,4 Volt. Ini sesuai dengan kebutuhan TTL seri LS. Jika CMOS menggunakan catudaya lebih besar dari 5 Volt, masukan TTL LS harus di-clamping ke VCC untuk menghindari breakdown pada masukannya pada saat CMOS mengeluarkan tegangan lebih besar dari 5 Volt.

Ambang masukan VIH dari CMOS bisa sampai 70% dari VDD sedangkan VIL tidak pernah dibawah 30%. Oleh karena itu, VOL dari TTL mmenuhi kebutuhan CMOS tetapi tahanan pull-up dibutuhkan untuk menjamin agar VOH dari TTL mencukupi kebutuhan CMOS.

5.4.1. CMOS ke TTL

Piranti CMOS dapat menggunakan tegangan catu yang berkisar antara 3 hingga 15 Volt. Jika menggunakan tegangan catu 3 Volt maka tegangan keluaran adalah sekitar 0 Volt unutk logika-0 dan sekitar 3 Volt untuk logika-1. Sedangkan jika menggunakan tegangan catu 15 Volt maka tegangan keluaran adalah sekitar 0 Volt untuk logika-0 dan sekitar 15 Volt untuk logika-1.

Piranti TTL menggunakan tegangan catu sebesar 5 Volt dengan tegangan keluaran sebesar 0,8 Volt untuk logika-0 dan 2 Volt untuk logika-1. Untuk menyesuaikan tegangan keluaran dan masukan maka piranti CMOS dapat dicatu dengan tegangan yang sama dengan TTL, yaitu sebesar 5 Volt. Namun kecepatan CMOS akan sangat berkurang jika dioperasikan dengan tegangan catu sebesar 5 Volt. Untuk mendapatkan kecepatan maksimum, umumnya CMOS menggunakan tegangan catu sebesar 15 Volt. Dengan demikian maka tegangan keluaran adalah sekitar 0 Volt untuk logika-0 dan 15 Volt untuk logika-1. Ini tidak sesuai dengan tegangan masukan dan keluaran TTL. Oleh karena itu dibutuhkan suatu rangkaian antarmuka.

Selain masalah ketidak sesuaian tegangan masukan dan keluaran, impedansi kedua piranti ini juga tidak sesuai. Piranti CMOS memiliki arus keluaran yang kecil, yaitu :

IOL = 0,8 mA

IOH = – 0,36 mA

untuk tegangan catu sebesar 5 Volt.

Arus keluaran ini tidak cukup untuk menggerakkan TTL karena arus masukan TTL adalah :

IIL = – 1,6 mA

IIH = 40 uA

Untuk mengatasi ini dapat digunakan suatu IC CMOS yang dirancang khusus untuk keperluan ini, yaitu CD4049 atau CD4050. CD 4049 adalah sebuah Hex Inverting Buffer yang dalam setiap IC terdapat enam buah inverter sedangkan CD4050 adalah sebuah Hex Non-inverting Buffer yang dalam setiap IC terdapat enam buah Buffer. IC antarmuka ini hanya dapat digunakan jika tegangan catu CMOS sama dengan TTL, yaitu 5 Volt. Jika tegangan catu berbeda maka diperlukan penggeser tegangan (level shifter). Penggeser tegangan ini bisa dirancang dengan menggunakan transistor bipolar seperti yang diperlihatkan pada Gambar 5.5.

Page 55: Perancangan Teknik Digital

R1

Q1

R3CMOS

+5V TTL

RANGKAIANANTARMUKA

CMOS

+15V

+5V

R2

+15V

INV1

AN1

N2

Gambar 5.5 Rangkaian antarmuka CMOS ke TTL

Pada rangkaian antarmuka ini, tranisistor bipolar dioperasikan sebagai sakelar yang menghasilkan tegangan keluaran sebesar 0 Volt jika jenuh dan 5 Volt jika menyumbat. Transistor akan jenuh jika mendapat arus basis yang cukup besar dan akan menyumbat jika tidak mendapat arus basis. Karena transistor bekerja sebagai penguat emiter bersama maka tegangan keluarannya berlawanan dengan tegangan masukannya. Untuk mengkoreksi ini digunakan sebuah inverter jenis CMOS sehingga rangkaian ini sesuai dengan rangkaian penggerak yang juga CMOS. Inverter ini akan menghasilkan arus basis jika keluarannya tinggi dan tidak menghasilkan arus basis jika keluarannya rendah.

Jika keluarannya inverter tinggi maka besarnya arus basis adalah :

IB = {(VOH – VBE) / R1} – VBE / R

Agar tranisistor bisa jenuh maka faktor penguatan arus dari transistor harus cukup besar sehingga :

.IB ³ IC(MAX)

IB ³ IC(MAX) /

dimana faktor penguatan arus dari transistor

IC(MAX) = (VCC – VCE(SAT)) / R3

VCE(SAT) = VCE saturasi dari transistor

Besarnya tahanan R1 harus cukup kecil agar arus basis cukup besar ubtuk membuat transistor menjadi jenuh. Besarnya nilai tahanan R1 adalah :

R1 £ (VOH – VBE) / IB

Tahanan R2 berfungsi untuk membuang arus bocor kolektor-basis agar transistor dapat menyumbat pada saat keluaran inverter rendah. Nilai R2 harus cukup kecil sehingga dapat membuat tegangan basis kurang dari 0,6 Volt agar arus basis menjadi nol. Besarnya R2 adalah :

R2 £ 0,6 V / ICBO

dimana ICBO = arus bocor kolektor basis dengan emiter terbuka

Jika memungkinkan maka rangkaian antarmuka diatas dapat disederhanakan dengan mengganti gerbang N1 dengan gerbang NAND seperti yang diperlihatkan pada Gambar 5.6.

Page 56: Perancangan Teknik Digital

R1

Q1

R3

+5V TTL

CMOS

+15V

+5V

R2

A

N2

N1

Gambar 5.6 Rangkaian antar muka yang disederhanakan

Dengan demikian maka inverter INV1 tidak diperlukan lagi.

Agar tidak membebani gerbang CMOS, tahanan R1 harus cukup besar. Namun hal ini akan mengakibatkan arus basis transistor menjadi kecil. Agar dapat jenuh dengan arus basis yang kecil ini, transistor harus memiliki yang cukup besar.

5.4.2. TTL ke CMOS

Piranti TTL memiliki arus keluaran yang cukup besar sehingga dapat menggerakkan masukan piranti CMOS. Masalahnya adalah perbedaan tegangan. Tegangan keluaran TTL adalah :

VOL : 0 sampai 0,8 Volt

VOH : 2 sampai 5 Volt

Jika CMOS menggunakan tegangan catu sebesar 15 Volt maka tegangan masukan yang dibutuhkan adalah :

VIL : 0 sampai 2,5 Volt

VIH : 12,5 sampai 15 Volt

Oleh karena itu VOH TTL tidak dapat memenuhi VIH CMOS. Untuk mengatasinya diperlukan rangkaian antarmuka untuk mengubah aras tegangan. Rangkaian ini bisa menggunakan penguat transistor seperti pada Gambar 5.7.

R1

Q1

R3TTL

CMOS

+15V

+5V

R2

A

N2

N1

+15V

C IN

B

Gambar 5.7 Rangkaian antar muka TTL ke CMOS

Karena masukan CMOS memiliki impedansi yang tinggi maka masukan ini tidak akan membebani transistor sehingga tahanan kolektor, dalam hal ini R3 dapat dibuat besar. Tetapi

Page 57: Perancangan Teknik Digital

jika nilai R3 terlalu besar maka tahanan ini dengan kapasitansi masukan CMOS akan membentuk tapis lolos bawah sehingga meredam frekuensi tinggi. Hal ini dipelihatkan pada Gambar 5.8.

V A

V B

1

0

1

0

Gambar 5.8 Bentuk gelombang tegangan pada titik A dan titik B

Dari Gambar 4 terlihat bahwa kenaikan tegangan VB berlangsung lambat karena terjadi proses pengisian kapasitansi CIN melalui tahanan R3 sedangkan penurunan tegangan berlangsung lebih cepat karena pengosongan CIN dilakukan oleh transistor dengan arus yang lebih besar.

Pada frekuensi yang lebih tinggi maka kenaikan tegangan VB akan berlangsung lebih lambat sehingga ada kemungkinan bahwa tegangan harus turun kembali sebelum sempat mencapai logika-1. Hal ini dapat dilihat pada Gambar 5.9.

V A

V B

1

0

1

0

Gambar 5.9 Bentuk gelombang tegangan pada titik A dan titik B pada frekuensi tinggi

Jika ini terjadi maka rangkaian akan gagal bekerja karena tidak pernah mendapat masukan logika-1. Oleh karena itu nilai tahanan R3 tidak boleh terlalu besar.

Page 58: Perancangan Teknik Digital

BAB 6. PERANCANGAN RANGKAIAN KOMBINASIRangkaian kombinasi merupakan suatu rangkaian yang memiliki dua atau lebih masukan dan satu atau lebih keluaran tanpa menggunakan umpan balik (feedback). Masalah-masalah dalam perancangan ini adalah menentukan persamaan rangkaian dalam aljabar Boole dan penyederhanaan persamaan guna mendapatkan rangkaian yang paling sederhana dengan jumlah gerbang minimum.

Perancangan rangkaian kombinasi dimulai dengan membuat spesifikasi dari rangkaian yang akan dibuat dalam uraian kata-kata. Spesifikasi ini menyatakan kemampuan rangkaian, sifat-sifat rangkaian, jumlah masukan, jumlah keluaran dan hubungan antara masukan-masukan dengan keluaran-keluaran. Dari uraian ini dapat ditentukan jumlah masukan dan keluaran dari rangkaian yang akan dibuat. Setiap masukan dan keluaran diberi pengenal simbolis, misalnya A, B, C dan sebagainya. Dari uraian ini dibentuk tabel kebenaran (truth table) yang menunjukkan nilai keluaran untuk semua kemungkinan kombinasi masukan. Selanjutnya dari tabel ini dapat dibuat persamaan rangkaian dalam aljabar Boole. Jika persamaan yang diperoleh masih terlalu kompleks maka persamaan ini harus disederhanakan lebih dahulu sebelum direalisasikan. Untuk menyederhanakannya ada tiga cara yang dapat digunakan, yaitu :

        Secara matematis

        Dengan Peta Karnaugh

        Dengan tabulasi Quine-McCluskey

Selanjutnya persamaan minimum yang diperoleh dapat direalisasikan dengan menggunakan gerbang-gerbang yang sesuai. Namun adakala persamaan yang diperoleh tidak dapat langsung direalisasikan karena spesifikasi gerbang yang tersedia tidak sesuai, misalnya jumlah masukan, tundaan waktu, kemampuan keluaran (fan-out). Kendala-kendala ini umumnya dapat diatasi dengan menggunakan gerbang-gerbang standard yang dirangkai secara khusus.

6.1. PEMILIHAN KOMPONEN

Dalam perancangan suatu rangkaian, hal-hal yang harus dipertimbangkan antara lain ialah :

        Konsumsi daya

        Keandalan

        Biaya

Jika peralatan yang akan dibuat harus menggunakan catuan dari batery maka komponen yang digunakan haruslah jenis yang menggunakan daya kecil. Untuk keperluan ini maka keluarga CMOS adalah yang paling sesuai. Amun seperti telah dijelaskan pada bagian sebelumnya, frekuensi kerja CMOS sangat terbatas yaitu hanya sampai sekitar 5 MHz. Akan tetapi jika peralatan yang akan dibuat hanya bekerja pada frekuensi rendah, maka hal ini tidak menjadi masalah.

Keandalan dari suatu alat umumnya ditentukan oleh jumlah komponen dan pengoperasian dari komponen-komponen yang digunakan. Semakin banyak jumlah komponen, semakin tinggi probabilitas kerusakannya. Sebaliknya semakin sedikit jumlah komponen, semakin kecil kemungkinan kerusakannya. Selain itu jika ditinjau dari segi pemeliharaan, maka memelihara alat dengan jumlah komponen yang sedikit akan lebih mudah jika dibandingkan dengan memelihara alat dengan jumlah komponen yang banyak. Pada alat dengan jumlah komponen

Page 59: Perancangan Teknik Digital

yang sedikit, penelusuran gangguan (trouble shooting) akan lebih cepat. Selain itu jumlah sukucadang yang harus disediakan juga lebih sedikit sehingga pemeliharaanakan lebih mudah. Selain itu komponen yang dioperasikan pada kemampuan maksimumnya umumnya tidak akan mampu bertahan lama. Oleh karena itu, dalam pengoperasiannya, sebaiknya komponen-komponen yang digunakan tidak dioperasikan pada daerah kemampuan maksimumnya. Sebagai contoh, suatu gerbang dengan IOL = -1,6 mA sebaiknya tidak dipaksa menarik arus sampai 1,6 mA.

Biaya pembuatan suatu rangkaian digital umumnya ditentukan oleh jumlah gerbang yang digunakan. Secara teori, semakin banyak gerbang yang digunakan semakin banyak biaya yang dibutuhkan. Hal ini benar jika setiap rangkaian terpadu atau IC (integrated circuit) hanya mengandung satu gerbang. Tetapi suatu IC umumnya mengandung lebih dari satu gerbang, seperti yang diperlihatkan pada Gambar 6.1. Pada gambar ini terlihat bahwa IC ini terdiri dari empat buah gerbang NAND dengan 2-masukan. Oleh karena itu, biaya untuk satu gerbang adalah sama dengan biaya untuk empat gerbang sehingga biaya pembuatan rangkaian yang terdiri dari satu gerbang NAND 2-masukan adalah sama dengan biaya pembuatan rangkaian yang terdiri dari empat gerbang NAND 2-masukan karena kedua rangkaian sama-sama membutuhkan satu buah IC.

1 2 3 4 5 6 7

14 13 12 11 10 9 8

GND

V CC

Gambar 6.1 IC jenis SN-7400

Akan tetapi ada pada beberapa kasus kemungkinan bahwa sejumlah gerbang dapat diimplementasikan dengan mengunakan gerbang yang sudah tersedia. Salah satu contoh diperlihatkan pada Gambar 6.2.

A

B

C

D

Q

A

B

C

D

Q

A

B

C

D

Q

(a) (b) (c)

Gambar 6.2 Pemanfaatan gerbang lebih

Pada Gambar 6.2a dibutuhkan dua gerbang AND dan sebuah gerbang OR. Jika direalisasikan dengan menggunakan keluarga TTL maka rangkaian ini membutuhkan satu IC SN-7408 (Quad 2-input AND gate) dan satu IC SN-7432 (Quad 2-input OR gate). Rangkaian ini dapat diubah dengan menggunakan dua buah gerbang NAND dan sebuah INVERT-OR untuk mengkompensasi pembalikan keluaran oleh gerbang NAND sehingga rangkaiannya menjadi seperti Gambar 6.2b.

Page 60: Perancangan Teknik Digital

Dengan memanfaatkan hukun De Morgan, gerbang INVERT-OR ini dapat diubah menjadi gerbang NAND sehingga ketiga gerbang yang digunakan menjadi gerbang NAND, seperti yang diperlihatkan pada Gambar 6.2c. Oleh karena itu, untuk merealisasikan rangkaian ini cukup digunakan sebuah IC SN-7400 (Quad 2-input NAND gate). Jika harga SN-7408, SN-7432 dan SN-7400 adalah sama, maka biaya pembuatan rangkaian ini menjadi setengah dari biaya semula. Selain itu, untuk pemeliharaan IC yang harus dicadangkan hanya SN-7400. Jika terjadi gangguan maka yang perlu diganti hanya satu buah IC.

6.2. PEMBUATAN SPESIFIKASI

Seperti yang telah disebutkan sebelumya, spesifikasi rangkaian harus menyatakan kemampuan rangkaian, sifat-sifat rangkaian, jumlah masukan, jumlah keluaran dan hubungan antara masukan-masukan dengan keluaran-keluaran dengan sejelas-jelasnya. Semua ini dinyatakan dengan satu kalimat atau lebih dengan sejelas mungkin. Dari kalimat-kalimat ini ditentukan persamaan rangkaian yang menyatakan keluaran sebagai fungsi masukan. Jika persamaan keluaran sudah diperoleh maka rangkaian dapat dibuat.

Contoh 1

Sebagai contoh, misalkan spesifikasi suatu rangkaian dapat dinyatakan dengan kalimat berikut :

“Suatu rangkaian memiliki dua masukan dan satu keluaran. Keluaran akan ber-logika tinggi jika dan hanya jika masukan pertama berbeda nilai dengan masukan yang kedua”.

Dari kalimat ini dapat dibuat tabel kebenaran dari rangkaian. Karena ada dua masukan dan satu keluaran maka dibutuhkan tiga variabel untuk menyatakan kedua masukan dan keluaran tersebut. Untuk masukan digunakan variabel A dan B sedangkan untuk keluaran digunakan variabel Q. Dari pernyataan spesifikasi diatas, tabel kebenaran dari rangkaian adalah :

Tabel 6.1 Tabel kebenaran dari rangkaian

Masukan Keluaran

A B Q

0 0 0

0 1 1

1 0 1

1 1 0

Dari tabel kebenaran ini dapat dibuat persamaan rangkaian, yaitu :

BABAQ

Q = A Å B

Dari persamaan ini terlihat bahwa rangkaian hanya terdiri dari satu gerbang EXOR sehingga dapat digembarkan seperti Gambar 6.1.

Page 61: Perancangan Teknik Digital

A

BQ

Gambar 6.3 Rangkaian dar contoh-1

Contoh 2

Suatu rangkaian mempunyai tiga masukan dan dua keluaran. Keluaran pertama akan tinggi jika dua masukan tinggi. Sedangkan keluaran kedua akan tinggi jika ketiga masukan tinggi. Untuk masukan digunakan variabel A, B dan C sedangkan untuk keluaran digunakan variabel X dan Y. Tabel kebenaran rangkaian adalah :

Tabel 6.2 kebenaran rangkaian

Masukan Keluaran

A B C X Y

0 0 0

0 0 1

0 1 0

0 1 1 1

1 0 0

1 0 1 1

1 1 0 1

1 1 1 1

Dari tabel ini dapat dibuat persamaan rangkaian, yaitu :

CABCBABCA X Y=ABC

Dari persamaan ini rangkaian dapat direalisasikan seperti yang ditampilkan pada Gambar 6.2.

Page 62: Perancangan Teknik Digital

Gambar 6.4 Rangkaian contoh-2

Contoh 3

Misalkan spesifikasi dari suatu rangkaian adalah sebegai berikut :

“Suatu rangkaian untuk quiz cepat-tepat mempunyai tiga tombol masukan dan tiga lampu keluaran, masing-masing satu untuk setiap peserta. Keluaran kedua adalah suatu bel yang akan berbunyi jika satu atau lebih tombol ditekan. Lampu-1 akan menyala jika tombol-1 ditekan dan tombol-2 dan tombol-3 tidak ditekan. Lampu-2 akan menyala jika tombol-2 ditekan dan tombol-1 dan tombol-3 tidak ditekan. Lampu-3 akan menyala jika tombol-3 ditekan dan tombol-1 dan tombol-2 tidak ditekan. Jika salah satu tombol telah ditekan maka kedua tombol lain tidak berfungsi.”

Untuk tombol-1, tombol-2 dan tombol-3 kita berikan variabel A, B dan C sedangkan untuk lampu-1, lampu-2 dan lampu-3 kita berikan variabel P, Q dan R. Untuk bel kita berikan variabel Z. Dari pernyataan-pernyataan diatas maka tabel kebenaran dari rangkaian dapat dibuat.

Tabel 6.3 Tabel kebenaran rangkaian cepat-tepat

Masukan Keluaran

A B C P Q R Z

0 0 0 0 0 0 0

0 0 1 0 0 1 1

0 1 0 0 1 0 1

0 1 1 0 0 0 0

1 0 0 1 0 0 1

1 0 1 0 0 0 0

1 1 0 0 0 0 0

1 1 1 0 0 0 0

A

B

C

X

Y

Page 63: Perancangan Teknik Digital

Pada tabel ini, penekanan dua tombol atau tiga tombol tidak memberikan keluaran karena ada penyataan “Jika salah satu tombol telah ditekan maka kedua tombol lain tidak berfungsi”. Dari tabel ini maka persamaan keluaran dari rangkaian adalah :

C.BA.P

C.B.AQ

.CB.AR Tetapi jika persamaan-persamaan ini diterapkan ke rangkaian maka suatu keluaran dapat diinterupsi oleh tombol lain yang ditekan belakangan. Hal ini tidak sesuai dengan spesifikasi. Yang benar ialah walaupun dua atau tiga tombol ditekan, rangkaian ini harus memberikan keluaran yang berkaitan dengan tombol yang pertama sekali ditekan.

Oleh karena itu maka persamaan keluaran harus diubah menjadi :

R.QA.P

R.B.PQ

.CQ.PR

Dengan persamaan ini maka jika suatu keluaran (yang berkaitan dengan sebuah tombol) telah menyala maka dua keluaran lain tidak dapat menyala walaupun tombolnya ditekan. Dengan demikian maka tombol yang ditekan belakangan tidak dapat menginterupsi suatu keluaran yang sedang menyala. Oleh karena itu rangkaian dari alat ini menjadi seperti yang diperlihatkan pada Gambar 6.5.

A

_R

_Q P

B_R

_P

Q

C

_P_Q R

Z

P

Q

R

Gambar 6.5 Rangkaian untuk quiz Cepat-tepat

Jika diimplementasikan dengan menggunakan IC jenis TTL maka rangkaian lengkapnya menjadi seperti Gambar 6.6.

Page 64: Perancangan Teknik Digital

B

R2 N2

V CC

B

N5

_R

_P Q

C

R3 N3

V CC

A

A

R1

N4

_R

_Q

P

N1

V CC

C

N6

_P

_Q

R

Z

R7

R8

Q2R9

R10

R11

Q3R12

R13

R14

Q4 BZN7

P

Q

R

R4

R5

Q1R6

LD1

LD2

LD3

BZ1

V CC

Gambar 6.6 Diagram lengkap rangkaian quiz Cepat-tepat

Ketiga contoh diatas adalah contoh- contoh yang sederhana. Pada kasus lain, mungkin saja persamaan yang diperoleh masih rumit sehingga perlu disederhanakan. Jika jumlah variabel kurang dari lima maka persamaan dapat disederhanakan dengan menggunakan peta Karnaugh. Tetapi jika jumlah variabel lebih dari lima maka penggunaan peta Karnaugh sudah sulit sehingga lebih mudah jika menggunakan tabulasi Quine-McCluskey. Peta Karnaugh dan metoda Quine-McCluskey tidak dibahas dalam buku ini karena sudah dibahas secara mendalam pada buku-buku rangkaian logika.

6.3. TUNDAAN WAKTU

Pada beberapa rangkaian, masalah tundaan waktu tidak menjadi masalah karena saat perubahan keluarannya tidak kritis. Sebagai contoh, penyalaan lampu ruangan yang terlambat 0,1 detik tidak akan berpengaruh pada pemakaian yang umum. Tetapi pada rangkaian lain, keterlambatan satu keluaran dapat menggagalkan kerja rangkaian secara keseluruhan. Hal ini dapat dijelaskan pada contoh berikut. Pada rangkaian seperti yang ditampilkan pada Gambar 6.7.

Q

A

B

CLK

N1N2

N3

Page 65: Perancangan Teknik Digital

Gambar 6.7 Contoh rangkaian kombinasi

Jika A = B = 1 maka Q = CLK. Tetapi jika masukan CLK mendapat tegangan masukan berupa pulsa, maka pulsa pada masukan-2 dari gerbang N3 akan tertinggal dari pulsa pada masukan-1 karena gerbang-gerbang N1 sampai N2 masing-masing menimbulkan waktu tunda. Hal ini dapat dilihat pada diagram pewaktuan pada gambar 6.8.

tD

CLK

N1

N2

N3

Gambar 6.8 Diagram pewaktuan dari Gambar 6.5

Dari diagram pewaktuan dapat dilihat bahwa keluaran N2 tertinggal sebesar tD dari masukan CLK sehingga pulsa keluarannya menjadi singkat. Jika frekuensi masukan dinaikkan maka keadaan ini akan semakin buruk. Kondisi terburuk akan terjadi pada frekuensi tertentu dimana keluaran N2 tertinggal sebesar 180o dari CLK sehingga keluaran N4 tidak pernah tinggi karena kedua masukannya akan tinggi secara bergantian dan tidak pernah terjadi secara bersamaan. Hal in dapat dilihat pada Gambar 6.9.

CLK

N1

N2

N3

tD

Gambar 6.9 Diagram pewaktuan dari Gambar 6.5 untuk frekuensi tinggi

Masalah tundaan waktu dapat diatasi dengan menyamakan waktu tunda bagi semua masukan gerbang yang terakhir. Karena mempercepat datangnya sinyal tidak mungkin dilakukan maka yang dilakukan adalah memperlambat sinyal masukan yang terlalu cepat. Pada rangkaian pada

Page 66: Perancangan Teknik Digital

Gambar 6.5 hal ini dapat dilakukan dengan menyisipkan dua gerbang dengan waktu tunda yang sesuai pada masukan pertama dari gerbang N3 tanpa mengubah polaritas sinyal. Gerbang yang disisipkan bisa berupa gerbang NOT atau yang lain. Yng penting adalah waktu tunda setiap gerbang ini harus sesuai dengan waktu tunda dari gerbang-gerbang N1 dan N2. Dengan penyisipan ini maka rangkaian menjadi seperti Gambar 6.10.

Q

A

B

CLK

N1N2

N3

Gambar 6.10 Rangkaian dengan penyesuaian waktu tunda

6.4. BENTUK RANGKAIAN

Jika ditinjau dari bentuk rangkaiannya maka rangkaian kombinasi umumnya dapat dibagi menjadi dua, yaitu :

1. Rangkaian AND dan OR 2. Rangkaian OR dan AND

Rangkaian AND dan OR terdiri dari sejumlah gerbang AND dan sebuah gerbang OR. Masing-masing gerbang AND akan mengalikan sejumlah masukan dan gerbang OR akan menjumlahkan keluaran-keluaran dari gerbang-gerbang AND ini. Oleh karena itu rangkaian ini disebut sebagai sebagai rangkaian jumlah perkalian (sum of product). Bentuk umum dari rangkaian ini adalah seperti yang diperlihatkan pada Gambar 6.11.

A

B

C_AD

B_D

Q

Gambar 6.11 Contoh rangkaian AND dan OR

Persamaan rangkaian ini menjadi :

DBDAABCQ

Page 67: Perancangan Teknik Digital

Bentuk persamaan ini disebut sebagai minterm (minimum term) atau sukumin.

Bentuk yang kedua ialah rangkaian OR dan AND. Rangkaian ini terdiri dari sejumlah gerbang OR dan sebuah gerbang AND. Masing-masing gerbang OR akan menjumlahkan variabel-variabel masukannya dan gerbang AND akan mengalikan keluaran dari gerbang-gerbang OR. Oleh karena itu rangkaian ini disebut sebagai sebagai rangkaian perkalian jumlah (product of sum). Bentuk rangkaian ini adalah seperti yang diperlihatkan pada Gambar 6.12.

A

B

C_AD

B_D

Q

Gambar 6.12 Contoh rangkaian OR dan AND

Persamaan rangkaian ini menjadi :

)DB.(D)(A.C)BA(Q

Bentuk persamaan ini disebut sebagai maxterm (maximum term) atau sukumax.

Persamaan-persamaan yang rumit dapat disederhanakan secara matematis, dengan peta Karnaugh atau dengan metoda Quine-McCluskey, tergantung pada tingkat kerumitan dan jumlah variabel dari persamaan.

Page 68: Perancangan Teknik Digital

BAB 7. PERANCANGAN RANGKAIAN BERURUTSama seperti pada perancangan rangkaian kombinasi, perancangan rangkaian berurut dimulai dengan menentukan sifat-sifat dari rangkaian dengan kata-kata. Selanjutnya uraian kata-kata ini dituangkan kedalam bentuk diagram keadaan dan tabel keadaan. Tabel keadaan yang pertama sekali dihasilkan umumnya masih dapat disederhanakan karena masih mengandung keadaan-keadaan yang tidak perlu. Penyederhanaan dapat dilakukan dengan dua cara, yaitu :

1.      Pencocokan baris

2.      Peta pasangan

Cacah keadaan akan menentukan jumlah flip-flop yang dibutuhkan. Sebagai contoh, dua flip-flop dapat menyatakan empat keadaan. Secara umum dapat dikatakan bahwa n flip-flop dapat menyatakan 2n keadaan atau dengan kata lain, untuk menyatakan (2n-1 – 1) sampai dengan 2n keadaan dibutuhkan n buah flip-flop.

Persamaan masukan dari masing-masing flip-flop dapat diperoleh dari tabel keadaan. Selanjutnya rangkaian dapat direalisasikan dengan menggunakan persamaan masukan ini.

7.1. RANCANGAN PENCACAH

Jika ditinjau dari arah cacahannya maka pencacah dapat dibagi atas :

1.      Pencacah naik

2.      Pencacah turun

Pada pencacah naik cacahan dilakukan kearah nilai yang semakin besar, misalnya 0, 1, 2, 3 dan seterusnya. Pada pencacah turun terjadi hal yang sebaliknya. Pencacah ini menghitung kearah nilai yang semakin kecil, misalnya 5, 4, 3, 2 dan seterusnya.

Pada perancangannya, kedua pencacah ini dapat dirancang dengan metoda yang sama. Yang pertama sekali harus dilakukan adalah membuat tabel keadaan yang menggambarkan hubungan antara keadaan keadaan berikut (keadaan yang akan terjadi) dengan keadaan sekarang. Tabel ini memberikan nilai keluaran yang akan diperoleh setelah pencacah menerima pulsa clock untuk setiap keadaan. Sebagai contoh, pada pencacah naik, keluaran setelah 0 adalah 1, keluaran setelah 1 adalah 2 dan seterusnya.

Perlu diperhatikan bahwa tabel keadaan baru dapat dibuat apabila jumlah variabel telah diketahui. Sedangkan jumlah variabel ditentukan oleh jumlah keadaan. Jadi sebelum bisa membuat tabel keadaan, perlu diketahui jumlah keadaan lebih dahulu. Agar dapat menghitung sampai 8 maka suatu pencacah harus terdiri dari 3 bit, agar dapat menghitung sampai 16 maka suatu pencacah harus terdiri dari 4 bit. Setiap bit dar pencacah direpresentasikan oleh sebuah flip-flop sehingga jumlah flip-flop ditentukan oleh nilai maksimum yang dapat dicacah atau jumlah keadaan keluaran pencacah.

7.1.1. Pencacah Naik

Page 69: Perancangan Teknik Digital

Seperti telah dijelaskan sebelumnya, langkah pertama dalam perancangan pencacah adalah membuat tabel keadaan. Pada pencacah naik tabel ini dapat dibuat dengan mudah, karena keadaan nilai keluaran setelah 0 adalah 1, keluaran setelah 1 adalah 2 dan seterusnya. Hubungan keadaan ini dapat digambarkan pada tabel keadaan. Tabel 7.1 memperlihatkan tabel keadaan dari suatu pencacah naik 3-bit. Apabila tabel keadaan telah diperoleh maka langkah selanjutnya adalah menentukan jenis flip-flop yang akan digunakan.

Pencacah dapat dirancang dengan menggunakan flip-flop apa saja. Pemilihan flip-flop didasarkan pada ketersediaan komponen dan kemudahan pada perancangan dan pengembangan selanjutnya.

Tabel 7.1. Tabel keadaan dari pencacah naik 3-bit

Keadaan sekarang

ABC

Keadaan berikut

A+B+C+

000 001

001 010

010 011

011 100

100 101

101 110

110 111

111 000

Pencacah dapat dirancang dengan menggunakan flip-flop apa saja. Pemilihan flip-flop didasarkan pada kemudahan perancangan, ketersediaan komponen dan kemudahan pengembangan selanjutnya. Sebagai contoh, flip-flop yang paling mudah untuk digunakan dalam perancangan ialah flip-flop D karena flip-flop ini hanya membutuhkan satu masukan data sehingga secara logika, rangkaian masukannya akan menjadi lebih sederhana jika dibandingkan dengan menggunakan flip-flop jenis JK yang membutuhkan dua data masukan. Tetapi nanti akan terlihat bahwa hal ini tidak selamanya besar, karena flip-flop JK dengan dua data masukan adalah lebih fleksibel sehingga ada kemungkinan bahwa rangkaian masukannya akan lebih sederhana.

Setelah diputuskan jenis flip-flop yang akan digunakan maka tabel keadaan dapat dikembangkan dengan memasukkan nilai-nilai masukan dari semua flip-flop yan digunakan. Sebagai contoh, dengan memasukkan nilai data dari ketiga flip-flop D dari pencacah maka tabel keadaan menjadi seperti Tabel 7.1.

Tabel 7.1 Tabel keadaan dengan masukan flip-flop

Keadaan sekarang

ABC

Keadaan berikut

A+B+C+ DA DB DC

000 001 0 0 1

Page 70: Perancangan Teknik Digital

001 010 0 1 0

010 011 0 1 1

011 100 1 0 0

100 101 1 0 1

101 110 1 1 0

110 111 1 1 1

111 000 0 0 0

Dari Tabel 7.1 dapat dibentuk persamaan- persamaan masukan untuk setiap flip-flop.

CABCBACBABCADA

CABCBACBACBADB

CABCBACBACBADC

Jika disederhanakan dengan peta Karnaugh maka peta untuk masing-masing masukan adalah seperti yang diperlihatkan pada Gambar 7.1.

00

0

1

01A

BC

11 10 00

0

1

01A

BC

11 10 00

0

1

01A

BC

11 10

1

11 1

D A

1 1

1 1

D B

1 1

1 1

D C

Gambar 7.1 Peta Karnaugh untuk masukan flip-flop

Dari peta Karnaugh ini, persamaan masukan flip-flop menjadi :

BCABACADA

CBCBCBDB Å

CDC

Dengan diperolehnya persamaan masukan flip-flop maka rangkaian dapat direalisasikan, seperti yang diperlihatkan pada Gambar 7.2.

Page 71: Perancangan Teknik Digital

D

Q

QSET

CLR

D

Q

QSET

CLR

D

Q

QSET

CLR

A_C

A_B_ABC

_CA B C

B

C

CLOCK

_A

_B

_C

Gambar 7.2 Rangkaian pencacah dengan flip-flop D

Pencacah pada contoh diatas dapat juga diimplementasikan dengan menggunakan flip-flop JK. Untuk itu perlu diketahui karakteristik dari flip-flop JK. Karakteristik ini diperlihatkan pada Tabel 7.2.

Tabel 7.2 Karakteristik flip-flop JK

Q Q+ J K

0 0 0 x

0 1 1 x

1 0 x 1

1 1 0 x

Pada Tabel 7.2, Q adalah nilai keluaran sekarang sedangkan Q+ adalah nilai keluaran berikutnya. Dari tabel ini terlihat bahwa untuk mempertahankan keluaran agar tetap 0 maka nilai masukan J dan K dinyatakan sebagai 0 dan x, dimana x adalah kondisi don’t care atau dapat diabaikan. Dengan demikian maka nilai masukan boleh J = 0 dan K = 0 atau J = 0 dan K = 1. Hal ini akan memberi kemudahan dalam perancangan. Dengan mengacu pada Tabel 7.2 maka tabel masukan untuk setiap flip-flop dapat ditentukan, seperti yang diperlihatkan pada Tabel 7.3.

Tabel 7.3 Tabel masukan flip-flop JK

Keluaran Masukan

Sekarang

ABC

Berikut

A+B+C+ JA KA JB KB JC KC

000 001 0 x 0 x 1 x

001 010 0 x 1 x x 1

010 011 0 x x 0 1 x

011 100 1 x x 1 x 1

100 101 x 0 0 x 1 x

Page 72: Perancangan Teknik Digital

101 110 x 0 1 x x 1

110 111 x 0 x 0 1 x

111 000 x 1 x 1 x 1

Dari Tabel 7.3 dapat ditentukan persamaan masukan untuk setiap flip-flop. Untuk mudahnya maka nilai masukan ini dapat langsung ditampilkan dengan peta Karnaugh, seperti yang diperlihatkan pada Gambar 7.3.

00

0

1

01A

BC

11 10

00

0

1

01A

BC

11 10

1

xx x

JA

x xx x

KA

x

1

00

0

1

01A

BC

11 10

00

0

1

01A

BC

11 10

1 x

xx

JB

x x

KB

x

1

1

x x 1

00

0

1

01A

BC

11 10

00

0

1

01A

BC

11 10

1 x

JC

KC

x 1

1 xx 1

1 xx 1

1 xx 1

Gambar 7.3 Peta Karnaugh untuk masukan flip-flop

Dari peta Karnaugh diperoleh persamaan masukan untuk masing-masing flip-flop

JA = JB = BC

JB = JC = C

JC = KC = 1

Dengan diketahuinya persamaan masukan flip-flop maka rangkaian pencacah dapat digambarkan, yaitu seperti yang diperlihatkan pada Gambar 7.4.

J

Q

Q

K

SET

CLR

J

Q

Q

K

SET

CLR

J

Q

Q

K

SET

CLR

B

CA

_A

B

_B

C

_C

C 1

CLOCK

Gambar 7.4 Rangkaian pencacah dengan flip-flop JK

7.1.2. Pencacah Turun

Perancangan pencacah turun tidak berbeda dari perancangan pencacah naik. Perbedaannya hanya terletak pada tabel keadaan. Kalau pada pencacah naik nilai keluaran berikut lebih besar

Page 73: Perancangan Teknik Digital

dari nilai sekarang maka pada pencacah turun adalah sebaliknya, yaitu nilai keluaran berikut lebih kecil dari nilai sekarang. Hal ini dapat dilihat pada Tabel 7.4.

Tabel 7.4 Tabel keadaan pencacah turun

Keadaan sekarang

ABC

Keadaan berikut

A+B+C+

000 111

001 000

010 001

011 010

100 011

101 100

110 101

111 110

Jika menggunakan flip-flop D maka tabel 7.4 akan menjadi Tabel 7.5.

Tabel 7.5 Tabel masukan flip-flop

Keadaan sekarang

ABC

Keadaan berikut

A+B+C+ DA DB DC

000 111 1 1 1

001 000 0 0 0

010 001 0 0 1

011 010 0 1 0

100 011 0 1 1

101 100 1 0 0

110 101 1 0 1

111 110 1 1 0

Dari Tabel 7.5 dapat diperoleh persamaan untuk DA, DB dan DC. Jika dipetakan maka peta Karnaugh untuk masukan-masukan ini adalah seperti yangdiperlihatkan pada Gambar 7.5.

Page 74: Perancangan Teknik Digital

00

0

1

01A

BC

11 10 00

0

1

01A

BC

11 10 00

0

1

01A

BC

11 10

1

111

D A

1 1

1 1

D B

1 1

1 1

D C

Gambar 7.5 Peta Karnaugh untuk masukan D pada pencacah turun 3-bit

Dari peta-peta ini diperoleh persamaan masukan yang disederhanakan, yaitu :

ABACC.B.ADA

CBBCC.BDB Å

CDC

Dengan diperolehnya persamaan masukan untuk setiap flip-flop maka rangkaian dapat digambarkan, yaitu seperti yang diperlihatkan pada Gambar 7.6.

D

Q

QSET

CLR

D

Q

QSET

CLR

D

Q

QSET

CLR

A

C

A

B

_CA B C

B

C

CLOCK

_A

_B

_C

_A_

B _C

Gambar 7.6 Rangkaian pencacah turun 3-bit dengan flip-flop D

7.1.3. Pencacah Tak Berurutan

Pencacah tak berurutan adalah suatu pencacah yang menghitung secara tak berurutan, dimana keluarannya akan berubah mengikuti siklus tertentu, tetapi bukan merupakan bilangan berurutan, misalnya 0, 3, 1, 5, 4, 7 , 2, 6, 0, 3, 1, 5, 4, 7 , 2, 6 . . . . dan seterusnya. Jika diperhatikan maka kelihatan bahwa nilai keluaran pencacah memang tidak berurutan tetapi memiliki pola tertentu. Dari nilai keluaran terbesar dapat ditentukan jumlah bit atau flip-flop dari pencacah ini. Pada contoh ini, nilai keluaran terbesar adalah 7 sehingga pencacah hanya membutuhkan tiga buah flip-flop. Urutan keluaran dapat ditentukan, yaitu setelah 0 maka keluaran menjadi 3. Setelah 3 keluaran menjadi 1. Setelah 1 keluaran menjadi 5, dan seterusnya. Urutan keluaran ini dapat digambarkan menjadi tabel keadaan, yaitu seperti Tabel 7.6.

Page 75: Perancangan Teknik Digital

Tabel 7.6 Tabel keadaan pencacah tak berurutan

Keadaan Sekarang

A B C

Keadaan Berikut

A+ B+ C+

0 0 0 0 1 1

0 0 1 1 0 1

0 1 0 1 1 0

0 1 1 0 0 1

1 0 0 1 1 1

1 0 1 1 0 0

1 1 0 0 0 0

1 1 1 0 1 0

Langkah selanjutnya adalah penentuan flip-flop yang digunakan. Dalam hal ini dipilih flip-flop jenis T. Karakteristik dari flip-flop ini diperlihatkan pada Tabel 7.7.

Tabel 7.7 Tabel karakteristik flip-flop T

Keluaran Masukan

Sekarang

Q

Berikut

Q+ T

0 0 0

0 1 1

1 0 1

1 1 0

Dari Tabel 7.7 ini terlihat bahwa nilai masukan T harus 1 hanya jika Q+ berbeda dari Q. Berdasarkan karakteristik flip-flop T ini maka tabel keadaan pencacah diubah menjadi tabel masukan flip-flop dengan menambahkan sebuah kolom yang memuat nilai masukan T untuk setiap keadaan. Tabel ini diperlihatkan pada Tabel 7.8.

Tabel 7.8 Tabel masukan flip-flop T unutk pencacah tak beraturan

Keluaran Masukan

Sekarang Berikut

TA TB TCA B C A+ B+ C+

0 0 0 0 1 1 0 1 1

Page 76: Perancangan Teknik Digital

0 0 1 1 0 1 1 0 0

0 1 0 1 1 0 1 0 0

0 1 1 0 0 1 0 1 0

1 0 0 1 1 1 0 1 1

1 0 1 1 0 0 0 0 1

1 1 0 0 0 0 1 1 0

1 1 1 0 1 0 1 0 1

Dari Tabel 7.8 persamaan untuk masukan TA, TB dan TC dapat dibuat, yaitu :

C.A.BCA.B.C.B.ACBA.TA

CA.B.C.BA..B.CAC.B.ATB

A.B.C.CBA.C.BA.C.B.ATC

Untuk menyederhanakan persamaan-persamaan ini dapat digunakan peta Karnaugh, seperti yang diperlihatkan pada Gambar 7.7.

00

0

1

01A

BC

11 10 00

0

1

01A

BC

11 10 00

0

1

01A

BC

11 10

1

11

1

T A

1 1

1 1

T B

1

11 1

T C

Gambar 7.7 Peta Karnaugh untuk masukan TA, TB dan TC

Dari peta-peta maka persamaan masukan flip-flop menjadi :

CBCB.CBTA Å

CA.B.CAC.BTB

A.CC.BTC

Dengan diperolehnya persamaan masukan ini maka rangkaian pencacah ini dapat digambarkan, yaitu seperti yang terlihat pada Gambar 7.8.

Page 77: Perancangan Teknik Digital

T Q

_Q

T Q

_Q

T Q

_Q

B

CA B C

_B_C

BC

_A

A_C

A

C

_B_C

CLOCK

Gambar 7.8 Rangkaian pencacah tak beraturan dengan flip-flop T

7.1.4. Mesin Mealy dan mesin Moore

Pada pencacah, keluaran dari rangkaian adalah merupakan suatu nilai dalam bilangan Biner atau BCD (Binary Coded Decimal). Masukan dari pencacah hanya pulsa pemicu atau clock. Pada mesin Mealy dan mesin Moore, nilai kandungan atau keluaran pencacah tidak dikeluarkan. Sebagai gantinya digunakan sebuah keluaran yang akan bernilai 1 jika urutan dari pencacahan mencapai suatu keadaan tertentu. Selain itu, rangkaian Mealy dan Moore mempunyai satu atau lebih masukan yang akan menentukan “arah pergerakan” dari pencacah. Jadi keadaan berikut dari suatu keadaan bisa lebih dari satu, tergantung pada jumlah masukan. Contoh dari mesin Mealy dan mesin Moore ini ialah vending machine, yaitu suatu mesin penjual otomatis yang dapat mengeluarkan suatu produk (rokok atau minuman kaleng) jika jumlah uang yang dimasukkan sudah sesuai dengan harga produk tersebut. Rangkaian-rangkaian ini disebut mesin karena memang pada umumnya digunakan pada mesin.

Mesin Mealy dan mesin Moore umumnya terdiri dari dua rangkain kombinasi dan satu rangkaian tunda yang umumnya berupa pencacah. Perbedaan mesin Moore dari mesin Mealy ialah, pada mesin Moore nilai keluaran hanya ditentukan oleh keadaan internal. Yang dimaksud dengan keadaan internal adalah keluaran dari rangkaian tunda atau pencacah yang digunakan. Sedangkan pada mesin Mealy, nilai keluaran ditentukan oleh kombinasi dari keadaan internal dengan masukan. Hal ini dapat dilihat dengan jelas pada diagram balok dari kedua mesin ini yang diperlihatkan pada Gambar 7.9.

Page 78: Perancangan Teknik Digital

RANGKAIANTUNDA

RANGKAIANKOMBINASI

2

RANGKAIANKOMBINASI

1

MASUKAN

KELUARAN

RANGKAIANTUNDA

RANGKAIANKOMBINASI

2

RANGKAIANKOMBINASI

1

MASUKAN

KELUARAN

a. Mesin Moore

b. Mesin Mealy

Gambar 7.9 Diagram balok mesin Moore dan mesin Mealy

Contoh berikut akan memperjelas konsep dasar dari mesin Mealy dan mesin Moore ini. Misalkan suatu produk seharga Rp. 300,- dijual dengan menggunakan mesin Mealy atau mesin Moore. Untuk menyederhanakan persoalan, anggaplah bahwa uang logam yang ada hanya bernilai Rp.100,-. Untuk menerima Rp.300,-, mesin harus mendapat masukan Rp.100,- sebanyak tiga kali sehingga mesin mempunyai 4 keadaan, yaitu keadaan-0 (sebelum mendapat masukan), keadaan-1 (setelah mendapat masukan Rp.100), keadaan-2 (setelah mendapat masukan Rp.200) dan keadaan-3 (setelah mendapat masukan Rp.300). Keadaan-keadaan ini dapat digambarkan berupa diagram keadaan seperti Gambar 7.10. Setiap keadaan digambarkan sebagai sebuah lingkaran yang diberi nomor keadaannya. Pada gambar ini ada 3 keadaan, yaitu keadaan-0, keadaan-1 dan keadaan-2. Peralihan dari suatu keadaan ke keadaan lain diperlihatkan oleh tanda panah. Disamping tanda panah dituliskan nilai masukan yang menyebabkan terjadinya perubahan, garis miring dan nilai keluaran yang dihasilkan pada saat peralihan berlangsung.

Page 79: Perancangan Teknik Digital

0

1

2

1/0

1/0

1/1 0/0

0/0

0/0

Gambar 7.10 Diagram keadaan mesin Mealy

Pada awalnya mesin berada pada keadaan-0 dan tetap pada keadaan-0 jika masukan X = 0. Hal ini digambarkan oleh sebuah tanda panah yang berasal dari keadaan-0 dan menunjuk ke keadaan-0. Nilai 0 setelah garis miring menunjukkan nilai yang dikeluarkan pada saat peralihan terjadi. Mesin akan berpindah dari keadaan-0 ke keadaan-1 jika masukan X = 1. Peralihan ini digambarkan oleh tanda panah dari keadaan-0 ke keadaan-1. Pada peralihan ini nilai keluaran juga = 0. Dari keadaan-1 mesin baru akan beralih ke keadaan-2 jika masukan X = 1. Jika tidak maka mesin akan tetap berada pada keadaan-1. Dari keadaan-2 mesin akan kembali ke keadaan-0 jika masukan X = 1 dan pada peralihan ini akan dihasilkan keluaran Z = 1. Mesin akan tetap pada keadaan-2 jika masukan X = 0.

Pada contoh ini, nilai masukan X akan menjadi tinggi setiap kali mesin mendapat masukan satu keping uang logam atau Rp.100,-. Selanjutnya diagram keadaan ini dapat dituangkan menjadi tabel keadaan, seperti yang diperlihatkan pada Tabel 7.9.

Tabel 7.9 Tabel keadaan mesin Mealy

KeadaanSekarang

AB

Keadaan Berikut

A+B+

Keluaran

Z

X = 0 X = 1 X = 0 X = 1

00 00 01 0 0

01 01 10 0 0

10 10 00 0 1

Dari Tabel 7.9 terlihat bahwa keadaan berikut dari setiap keadaan ada dua, yaitu untuk X = 0 dan untuk X = 1, dimana X adalah masukan. Demikian juga halnya dengan nilai keluaran.

Jika diimplementasikan dengan flip-flop D maka tabel masukan flip-flop adalah seperti Tabel 7.10.

Page 80: Perancangan Teknik Digital

Tabel 7.10 Tabel masukan flip-flop D untuk mesin Mealy

KeadaanSekarang

A B

Keadaan Berikut

A+ B+

Masukan

DA DB

X = 0 X = 1 X = 0 X = 1

00 00 01 00 01

01 01 10 01 10

10 10 00 10 00

Dengan mengacu pada Tabel 7.10 maka persamaan masukan DA dan DB dari flip-flop dapat dituliskan sebagai berikut :

.B.XAX.BA.DA

X)(BA.XB.AX.B.ADB Å

dan dengan mengacu pada Tabel 7.9 persamaan keluaran Z dapat ditentukan, yaitu :

.XBA.Z Rangkaian dari mesin ini diperlihatkan pada Gambar 7.11.

A_B _

X

BX

_A

A B_A

B

X

CLOCK

_A

_B

ZA

X

_B

Q

_Q

D Q

_Q

D

Gambar 7.11 Rangkaian mesin Mealy

Mesin pada contoh ini dapat juga diimplementasikan dengan menggunakan mesin Moore. Tetapi karena pada mesin Moore nilai keluaran hanya ditentukan oleh keadaan internal maka kondisinya sedikit berbeda. Pada mesin ini dibutuhkan empat keadaan. Keadaan yang keempat ialah keadaan dimana mesin mengeluarkan produk dan keadaan ini tidak boleh berlangsung terlalu lama agar produk yang dikeluarkan tidak lebih dari satu buah. Jika keadaan ini berlangsung lama, maka ada kemungkinan produk yang dijual akan keluar lebih dari satu. Tetapi hal ini dapat juga diproteksi secara mekanis agar jumlah produk yang dikeluarkan tidak tergantung pada lamanya keadaan-3 berlangsung. Jika produk sudah dikeluarkan, mesin harus segera di-reset agar kembali ke keadaan-0. Diagram keadaan mesin ini diperlihatkan pada Gambar 7.12.

Page 81: Perancangan Teknik Digital

0/0

1/0

2/0

1

0

11

0

0

10

3/1

Gambar 7.12 Diagram keadaan mesin Moore

Karena keluaran hanya ditentukan oleh keadaan internal maka nilai keluaran dituliskan didalam lingkaran keadaan. Panah penghubung dari satu keadaan ke keadaan lain hanya diberi nilai masukan. Pada contoh ini, keluaran hanya akan bernilai tinggi pada keadaan-3. Pada pulsa clock berikutnya mesin harus direset atau kembali ke keadaan-0 (tanpa dipengaruhi oleh nilai masukan) agar siap untuk memulai siklus yang baru.

Berdasarkan diagram keadaan ini, tabel keadaan mesin ini dapat dibuat, yaitu seperti diperlihatkan pada Tabel 7.

Tabel 7.11 Tabel keadaan mesin Moore

KeadaanSekarang Keadaan Berikut Keluaran

X = 0 X = 1 Z

00 00 01 0

01 01 10 0

10 10 00 0

11 00 00 1

Jika diimplementasikan dengan menggunakan flip-flop D, maka tabel masukan flip-flop adalah seperti Tabel 7.12.

Tabel 7.12 Tabel masukan flip-flop D pada mesin Moore

KeadaanSekarang

A B

Keadaan Berikut

A+ B+

Masukan

DA DB

X = 0 X = 1 X = 0 X = 1

00 00 01 00 01

01 01 10 01 10

Page 82: Perancangan Teknik Digital

10 10 00 10 00

11 00 00 00 00

Dari Tabel 7.12 dapat ditentukan persamaan-persamaan DA dan DB.

.B.XAX.BA.DA

.XB.AX.B.ADB

Dari Tabel 7.11 persamaan keluaran Z adalah :

Z = A.B

Dengan diketahuinya persamaan masukan flip-flop dan persamaan keluaran Z maka rangkaian dapat digambarkan. Rangkaian mesin ini diperlihatkan pada Gambar 7.13.

A B

CLOCK

_A

_B

ZA

B

A_B _

X

BX

_A

_AB _X

_B X

_A

Q

_Q

DQ

_Q

D

Gambar 7.13 Rangkaian mesin Moore

Contoh yang diberikan ini adalah contoh yang sangat sederhana. Pada umumnya, mesin yang digunakan mampu untuk menerima beberapa jenis uang logam, misalnya RP.100,- dan Rp.500,-. Rancangan mesin ini lebih rumit karena karena memiliki tiga kemungkinan keadaan berikut dari suatu keadaan, yaitu tidak menerima uang, menerima Rp.100,- atau menerima Rp.500,-.

Contoh berikut akan memeberikan gambaran yang lebih jelas tentang mesin ini. Misalkan suatu produk sehargaRp.700,- dijual dengan menggunakan suatu mesin dan misalkan pula bahwa uang logam yang digunakan hanya dua jenis, yaitu Rp.100,- dan Rp.500,-. Jika mengguanakan mesin Moore maka diagram keadaannya adalah seperti Gambar 7.14.

Page 83: Perancangan Teknik Digital

0/0 100/0 300/0200/0

400/0500/0600/0700/1

100

500

500

100 100

100

100100100

500

0000

0

0

0

0

500

500500

500

Gambar 7.14 Diagram keadaan mesin contoh 2

Nomor keadaan pada gambar ini dibuat sesuai dengan jumlah uang yang telah diterima mesin. Terlihat bahwa dari keadaan-0 mesin bisa tetap di keadaan-0 atau pindah ke keadaan-100 jika menerima Rp.100,- atau pindah ke keadaan-500 jika menerina Rp.500,-. Dari keadaan-100 mesin bisa tetap atau pindah ke keadaan-200 jika menerima Rp.100,- atau pindah ke keadaan-600 jika menerima RP.500,-. Demikian seterusnya sampai mesin menerima Rp.700,- saat mana produk akan dikeluarkan. Untuk mempermudah persoalan, mesin dirancang agar pindah ke keadaan-700 jika menerima masukan Rp.500,- pada keadaan-300 sampai dengan keadaan-600. Untuk menghindari masukan ganda maka slot uang (tempat memasukkan uang) dari mesin ini harus dirancang agar tidak dapat menerima dua coin secara bersamaan.

Dari diagram keadaan terlihat bahwa jumlah keadaan ada 8 sehingga untuk menyatakan keadaan ini dibutuhkan tiga variabel atau tiga flip-flop. Untuk mudahnya kita beri nama A, B dan C. Selanjutnya nomor keadaan diubah, dimana keadaan-0 diubah menjadi 000, keadaan-100 menjadi 001dan seterusnya sampai keadaan-7 menjadi 111. Untuk menyederhanakan tabel keadaan maka uang Rp.100,- diberi nama variabel X dan uang Rp.500,- diberi nama variabel Y. Dengan demikian maka tabel keadaan masin ini dapat dibuat dan diperlihatkan pada Tabel 7.15.

Tabel 7.15 Tabel keadaan mesin contoh 2

Keadaan

Sekarang Berikut Keluaran

X = 0; Y = 0 X = 1; Y = 0 X = 0; Y = 1

ABC A+B+C+ A+B+C+ A+B+C+ Z

000 000 001 101 0

001 001 010 110 0

010 010 011 111 0

011 011 100 111 0

100 100 101 111 0

101 101 110 111 0

110 110 111 111 0

111 111 000 000 1

Page 84: Perancangan Teknik Digital

Dari Tabel 7.15 terlihat bahwa persamaan keluaran Z adalah :

Z = A.B.C

Selanjutnya jika jenis flip-flop telah ditentukan maka persamaan masukan dari flip-flop dapat ditentukan sehingga rangkaian dapat direalisasikan.

Mesin-mesin yang baru umumnya mampu untuk mengembalikan kelebihan uang yang dimasukkan. Jika tidak mempunyai uang kembalian maka mesin ini akan menampilkan pesan bahwa saat itu mesin tidak mampu mengembalikan uang lebih. Mesin seperti ini akan sulit diimplementasikan dengan menggunakan rangkaian logika dan akan jauh lebih mudah jika menggunakan mikroprosesor.

Page 85: Perancangan Teknik Digital

BAB 8. PEMBANGKITAN PULSA CLOCKFlip-flop dan pencacah selalu membutuhkan pulsa clock untuk memicunya. Pulsa clock ini bisa dibangkitkan oleh manusia dengan menekan suatu sakelar tekan (push button switch), bisa juga bersumber dari suatu osilator yang membangkitkan deretan pulsa dengan frekuensi tertentu. Syarat yang harus dipenuhi oleh pulsa clock ini antara lain ialah :

        Memiliki simpangan yang sesuai dengan perangkat logika yang digunakan

        Mempunyai frekuensi dalam kisaran sesuai dengan perangkat logika yang digunakan

        Bebas kerut

Agar sesuai dengan perangkat logika yang digunakan maka simpangan tegangan dari pulsa clock harus sesuai dengan kisar tegangan masukan yang dibutuhkan oleh perangkat logika yang digunakan. Sebagai contoh, TTL membutuhkan pulsa clock yang berkisar dari 0 hingga +5 Volt.

Pada CMOS kisar tegangan ini harus sesuai dengan tegangan catu yang digunakan. Jika tegangan catu yang digunakan adalah 15 Volt, maka pulsa clock harus berkisar dari 0 hingga +15 Volt.

Jika pulsa clock yang dibangkitkan oleh osilator, maka frekuensi dari clock ini harus berada dalam kisaran frekuensi yang mampu diikuti oleh perangkat logika yang digunakan. Sebagai contoh, pada TTL standard, frekuensi clock harus < 20 MHz karena perangkat TTL standard mempunyai kecepatan switching maksimum 20 MHz.

8.1. PEMBANGKITAN PULSA DENGAN RANGKAIAN DEBOUNCING

Pada beberapa pemakaian, pulsa ini dibangkitkan dengan menekan sebuah sakelar tekan dimana satu pulsa dibangkitkan setiap kali tombol ditekan. Sakelar yang digunakan umumnya adalah sakelar mekanis yang terdiri dari satu pasang atau lebih kontak diam dan kontak gerak. Pada saat sakelar ditekan, kontak gerak akan bergerak dan terhubung dengan kontak diam. Pada saat menyentuh kontak diam, kontak gerak ini akan dipantulkan beberapa kali sebelum akhirnya diam. Hal ini disebabkan oleh sifat elastis dari kedua kontak tersebut. Keadaan ini adalah sama dengan sebuah bola yang dibanting ke tanah, dimana bola tersebut akan memantul beberapa kali sebelum akhirnya diam. Pantulan ini dikenal sebagai bouncing dan meninbulkan masalah pada pembangkitan pulsa clock. Akibat bouncing maka untuk satu kali penekanan sakelar akan dibangkitkan beberapa pulsa clock. Kondisi ini diperlihatkan pada Gambar 8.1.

R

V CC

TOMBOL

V O

V O

(a) (b)

Gambar 8.1 Rangkaian pembengkit clock dan tegangan keluarannya

Page 86: Perancangan Teknik Digital

Jika sakelar pada Gambar 8.1a tidak ditekan maka inverter akan mendapat masukan logika tinggi sehingga keluarannya (VO) akan rendah. Jika sakelar ditekan maka masukan inverter akan rendah sehingga keluarannya akan tinggi. Tetapi jika kontak gerak dipantulkan maka hubungannya dengan kontak diam akan terputus sesaat untuk kemudian tersambung lagi. Akibatnya keluaran inverter akan rendah sesaat dan kemudian tinggi kembali. Hal ini akan terulang beberapa kali sampai proses pemantulan ini berakhir. Akibat pemantulan ini maka bentuk tegangan keluaran adalah seperti yang diperlihatkan pada Gambar 8.1b. Hal ini tentu saja tidak diinginkan karena jika digunakan pada pencacah, satu kali penekanan tombol akan mengakibatkan cacahan naik lebih dari satu. Untuk mengatasi hal ini dapat digunakan rangkaian debouncing. Rangkaian ini akan mengeliminasi bouncing dengan memanfaatkan sifat flip-flop yang akan mempertahankan suatu keadaan sebelum mendapat perintah untuk berubah ke keadaan lain melalui masukan yang sesuai. Sebagai contoh, suatu flip-flop akan set dan seterusnya set sebelum mendapat pulsa pada masukan reset-nya. Demikian pula sebaliknya. Rangkaian debouncing ini diperlihatkan pada Gambar 8.2.

Q

_Q

SAKELARTEKAN

A

B

SET

RESET

Gambar 8.2 Rangkaian pembangkit pulsa clock dengan debouncing

Pada rangkaian ini digunakan sebuah sakelar satu kutub dua kedudukan dan dua gerbang NAND jenis TTL yang membentuk sebuah flip-flop. Karena gerbang adalah TTL maka masukan yang terbuka akan berlogika tinggi. Dalam hal ini jika sakelar tidak ditekan maka kontak gerak selalu terhubung ke kontak-A sehingga kontak ini selalu berlogika rendah dan kontak-B yang terbuka selalu berlogika tinggi. Akibatnya flip-flop selalu akan di-reset.

Jika sakelar ditekan maka kontak gerak akan beralih dari kontak-A ke kontak-B, sehingga flip-flop akan set dan keluaran Q akan tinggi. Jika kontak gerak dipantulkan beberapa kali oleh kontak-B maka flip-flop akan mendapat pulsa SET sebanyak pantulan ini. Tetapi hal ini tidak berakibat apa-apa karena flip-flop yang sedang set akan tetap set jika diberi pulsa SET. Jika sakelar dilepas maka kontak diam akan kembali terhubung dengan kontak-A. Pada sentuhan pertama dari kedua kontak ini flip-flop akan di-reset. Jika terjadi pantulan maka flip-flop akan menerima pulsa RESET berulang-ulang namun flip-flop akan tetap dalam keadaan reset karena pemberian pulsa RESET pada flip-flop yang sedang reset tidak akan mempengaruhi keadaan flip-flop. Bentuk pulsa masukan dan keluaran dari rangkaian ini adalah seperti yang diperlihatkan pada Gambar 8.3.

TOMBOL

V B

V A

Q

Gambar 8.3 Bentuk pulsa masukan dan keluaran rangkaian debouncing

Page 87: Perancangan Teknik Digital

8.2. PEMBANGKITAN PULSA DENGAN MMV

Pada pembangkitan pulsa yang dibahas sebelumnya, panjang pulsa yang dihasilkan adalah sama dengan lamanya penekanan sakelar. Jika sakelar ditekan untuk waktu singkat maka pulsa yang dihasilkan juga akan singkat. Jika sakelar ditekan untuk waktu yang terlalu singkat maka ada kemungkinan bahwa lebar pulsa yang dihasilkan tidak cukup untuk memicu rangkaian yang harus dipicu. Untuk mengatasi masalah ini harus digunakan suatu rangkaian MMV (monostable multi vibrator). Rangkaian MMV ini aka membangkitkan satu pulsa setiap kali dipicu dimana lebar pulsa adalah tetap dan tidak tergantung pada lamanya penekanan sakelar. Beberapa keluarga logika menyediakan piranti yang khusus dirancang untuk keperluan ini. Salah satu contohnya ialah SN74121 dari keluarga TTL yang diperlihatkan pada Gambar 8.4.

A1

A2

B

C XR X

Q

_Q

R/C C

V CC

Gambar 8.4 Rangkaian SN74121

Pada IC ini disediakan tiga masukan untuk pemicuan, yaitu A1, A2 dan B. Persamaan untuk pemicuan ini adalah :

).BAA(T 21

Jadi untuk memicu MMV ini A1 atau A2 atau keduanya harus rendah dan B harus tinggi. Jika sumber pemicuan adalah tinggi maka sumber dapat dihubungkan B sementara A1 atau A2 atau keduanya dibuat rendah. Sealiknya jika sumber pemicuan rendah maka sumber dapat dihubungkan ke A1 atau A2 ata keduanya sementara B dibuat tinggi.

Lebar pulsa yang dihasilkan ditentukan oleh nilai tahanan dan kapasitor eksternal ( RX dan CX) dan dapat dinyatakan sebagai :

tW = 0,69 RX CX

Selain dari SN74121 dari keluarga TTL, IC jenis LM555 juga dapat digunakan sebagai MMV untuk membangkitkan pulsa. IC ini pada awalnya dirancang untuk digunakan sebagai pewaktu tetapi belakangan ternyata bahwa IC ini memiliki banyak sekali kegunaan lain sehingga dapat dianggap sebagai IC serbaguna. Contoh rangkaian penggunaan IC ini dapat dilihat pada Gambar 8.5.

Lebar pulsa yang dihasilkan ialah :

tW = 0,693 RX CX

IC ini mempunyai banyak kelebihan, antara ialah kisar catu dayanya yang lebar, yaitu dari 5 sampai 15 Volt sehingga dapat digunakan pada rangkaian TTL atau CMOS. Kelebihan lain ialah keluarannya yang dapat mengeluarkan atau menarik arus sampai 200 mA.

Page 88: Perancangan Teknik Digital

RX

CX

TRIG

DIS

THRES

R1

OUT

RST

VCC

TRIGGER

tW

Gambar 8.5 Rangkaian LM555

Rangkaian MMV dapat juga dibangun dengan menggunakan gerbang logika standard seperti NAND atau NOR. Contoh rangkaian dengan menggunakan gerbang NAND dapat dilihat pada Gambar 8.6.

RX

CXTRIGGER tW

N1 N2

R1C1

VDD

1

2

Gambar 8.6 Rangkaian MMV dengan gerbang NAND

Gerbang-gerbang yang digunakan disini adalah jenis CMOS untuk mendapatkan impedansi masukan yang besar. Ini perlu agar arus pengisian dan pengosongan dari kapasitor C hanya ditentukan oleh tegangan keluaran gerbang dan tahanan R. Pada saat tidak menerima trigger, keluaran N2 selalu tinggi karena kedua masukannya dibumikan oleh tahanan R sehingga berlogika rendah. Karena keluaran ini diumpanbalikkan ke N1 dan masukan kedua diberi logika tinggi oleh tahanan R1 maka keluaran N1 akan rendah. Begitu masukan trigger rendah maka masukan-2 dari N1 akan rendah selama t1 sehingga keluaran N1 akan naik. Lamanya t1 ini rendah ditentukan oleh nilai R1 dan C1. Kenaikan keluaran N1 akan membuat kedua masukan N2 tinggi selama t2 sehingga keluarannya menjadi rendah. Lamanya t2 ditentukan oleh nilai RX dan CX. Rendahnya keluaran N2 ini akan membuat masukan-1 dari N1 rendah sehingga keluarannya tetap tinggi walaupun masukan-2 telah tinggi kembali. Dengan demikian maka lebar pulsa yang dihasilkan tidak tergantung pada R1 dan C1, tetapi hanya ditentukan oleh RX dan CX. Lebarnya pulsa ini adalah sekitar :

tW ≈ 0,7 RX CX

Rangkaian ini dapat juga diimplementasikan dengan menggunakan gerbang NOR seperti yang diperlihatkan pada Gambar 8.7.

Page 89: Perancangan Teknik Digital

CXRX

R1

C1

V DD

TRIGGER tW1

2

Gambar 8.7 Rangkaian MMV dengan gerbang NOR

Prinsip kerja rangkaian ini sama dengan rangkaian pada Gambar 8.6. Perbedaannya ialah pada rangkaian ini dibutuhkan pulsa trigger positip dan pulsa keluaran yang dihasilkan adalah pulsa positip. Lebar pulsa yang dihasilkan adalah :

tW ≈ 0,7 RX CX

8.3. RANGKAIAN OSILATOR

Pada beberapa rangkaian dibutuhkan sederetan pulsa clock dengan frekuensi tertentu. Deretan pulsa clock ini dapat dibangkitkan dengan menggunakan suatu osilator yang dibentuk dengan menggunakan gerbang logika ataupun dengan menggunakan piranti lain seperti LM555 yang pada awalnya dirancang unutk digunakan sebagai pewaktu (timer).

Pada beberapa pemakaian, seperti pada jam digital, frekuensi dari osilator ini harus sangat presisi karena ketepatan dari jam ini hanya ditentukan oleh ketepatan dari frekuensi clock. Untuk keperluan seperti ini biasanya digunakan osilator yang menggunakan kristal kwarsa yang memiliki ketepatan dan kestabilan frekuensi yang sangat tinggi.

8.3.1. Osilator RC

Osilator ini menggunakan tahanan dan kapasitor sebagai penentu frekuensinya. Osilator ini sangat mudah untuk dibangun namun memiliki ketelitian frekuensi yang rendah. Rangkaian osilator RC yang paling sederhana dapat dibangun dengan menggunakan satu gerbang seperti yang diperlihatkan pada Gambar 8.8.

R

C

V O

V C

Gambar 8.8 Rangkaian osilator RC dengan inverter

Page 90: Perancangan Teknik Digital

Inverter yang digunakan adalah inverter yang dilengkapi dengan Schmitt Trigger. Fungsi Schmitt Trigger disini adalah untuk mempercepat transisi tegangan keluaran dan memberi efek hysteresis pada tegangan masukan. Efek hysteresis ini dapat dilihat pada Gambar 8.9.

V OUT

V INV 2V 1

Gambar 8.9 Efek hysteresis pada inverter

Dari Gambar 8.9 terlihat bahwa keluaran baru akan turun jika masukan melampaui V2, yaitu ambang tegangan atas (upper threshold). Selanjutnya jika tegangan masukan diturunkan maka keluaran baru akan naik jika masukan lebih rendah dari V1, yaitu ambang tegangan bawah (lower threshold). Pada awalnya kapasitor belum bermuatan sehingga tegangan jepitnya adalah nol. Pada saat catu daya dinyalakan maka tegangan masukan inverter adalah rendah sehingga keluarannya tinggi. Oleh karena itu arus akan mengalir dari keluaran menuju ke kapasitor C melalui tahanan R. Arus ini akan mengisi kapasitor sehingga tegangan jepitnya akan naik perlahan-lahan secara eksponensial. Pada saat tegangan masukan melampaui V2 maka keluaran akan turun dengan cepat. Karena saat ini tegangan keluaran < tegangan kapasitor maka arus akan mengalir dari kapasitor menuju ke keluaran inverter sehingga kapasitor akan mengalami proses pengosongan. Karena mengalami pengosongan maka tegangan kapasitor akan turun secara perlahan sampai melampui V1, saat mana keluaran inverter akan kembali naik dan kapasitor akan mengalami proses pengisian. Hal ini akan terus berulang sehingga keluaran akan turun dan naik secara beraturan. Hubungan antara tegangan masukan dan keluaran inverter diperlihatkan pada Gambar 8.10.

V2

V1

V C

V O

Gambar 8.10 Bentuk gelombang tegangan masukan dan keluaran inverter

Frekuensi dari osilator ini ditentukan oleh tahanan R, kapasitor C dan impedansi masukan dari inverter yang digunakan. Secara umum dapat dikatakan bahwa frekuensi keluaran adalah :

f = k x R x C

Page 91: Perancangan Teknik Digital

dimana k adalah konstanta yang harus dicari dengan eksperimen. Gerbang TTL yang dapat digunakan pada osilator ini antara lain ialah SN7414 (Hex Schmitt Trigger Inverter) dan SN7413 (Dual 4-input Schmitt Trigger NAND Gate). MC40106 dari keluarga CMOS juga dapat digunakan untuk osilator ini.

Versi lain dari osilator RC adalah yang menggunakan dua gerbang seperti yang diperlihatkan pada Gambar 8.11.

N1 N2R1 RX CX

V OA B

C

Gambar 8.11 Rangkaian osilator versi kedua

Pada rangkaian ini gerbang yang digunakan harus gerbang CMOS untuk mendapatkan impedansi masukan yang besar agar arus masukan gerbang tidak mempengaruhi konstanta waktu dari RC. Cara kerja rangkaian ini adalah sebagai berikut :

Misalkan mula-mula kapasitor tidak bermuatan sehingga tegangan jepitnya adalah nol. Jika pada saat catu daya dinyalakan VO = 0 maka VA juga = 0 sehingga VB = VDD. Akibatnya kapasitor akan mengalami pengisian dari keluaran N1 melalui RX sehingga tegangan jepit kapasitor dan VC akan naik secara perlahan. Karena impedansi masukan dari N1 sangat besar maka tegangan jatuh pada R1 ≈ 0 sehingga VA ≈ VC. Pada saat VA melampaui ambang logika-1 maka VB akan turun ke logika-0 sehingga VB ≈ 0 dan VO naik ke logika-1 sehingga VO ≈ VDD. Akibatnya kapasitor akan mengalami proses pengosongan melalui RX. Tegangan jepit kapasitor dipantau oleh N1 melalui R1. Pada saat tegangan ini turun melampaui ambang logika-0 maka keluaran N1 akan beralih ke logika-1 sehingga VB ≈ VDD dan kapasitor akan mengalami proses pengisian kembali. Hal ini akan terus berulang sehingga VO akan merupakan tegangan persegi dengan frekuensi :

f = (RX.CX) / 2,2

Hubungan antara tegangan kapasitor dengan tegangan keluaran adalah seperti yang diperlihatkan pada Gambar 8.12.

V HI

V LO

V C

V O

V DD

0

V DD

0

Gambar 8.12 Hubungan antara tegangan kapasitor dengan tegangan keluaran

Dengan mengganti gerbang NOT dengan gerbang NAND atau NOR maka rangkaian osilator dapat dikontrol. Dengan adanya kontrol ini maka osilator dapat dinyalakan atau dipadamkan dengan mengatur nilai logika dari satu masukan gerbang. Contoh rangkaian ini adalah seperti yang diperlihatkan pada Gambar 8.13.

Page 92: Perancangan Teknik Digital

N1 N2R1 RX CX

KONTROLVO

Gambar 8.13 Osilator dengan gerbang NAND

Pada rangkaian ini, gerbang NOT digantikan dengan gerbang NAND. Jika masukan KONTROL diberi logika-1 maka gerbang N1 akan di-enable sehingga osilator akan bekerja. Jika kontrol diberi logika-0 maka N1 di-disable sehingga keluarannya akan selalu tinggi sehingga osilator tidak bekerja.

Apabila diinginkan, maka rangkaian ini dapat juga diimplementasikan dengan menggunakan gerbang NOR seperti yang diperlihatkan pada Gambar 8.14.

R1 RX CX

KONTROLV O

N1 N2

Gambar 8.14 Osilator dengan gerbang NOR

Pada rangkaian ini, osilator akan bekerja jika masukan kontrol berlogika-0. Jika kontrol berlogika-1 maka keluaran N1 akan selalu rendah sehingga osilator tidak bekerja.

Pada ketiga rangkaian osilator ini, R1 berfungsi agar gelombang tegangan keluaran memiliki duty cycle = 50%. Yang dimaksud dengan duty cycle adalah perbandingan antara lamanya keluaran berlogika-1 dengan lama satu siklus lengkap. Sebagai contoh, sauatu gelombang tegangan dikatakan memiliki duty cycle jika dalam satu siklus selama 1 detik, gelombang tersebut berlogika-1 hanya selama 0,5 detik. Apabila duty cycle sebesar 50% tidak diperlukan maka tahanan R1 dapat ditiadakan.

Selain gerbang-gerbang logika, LM555 juga dapat dioperasikan sebagai osilator. Pada penggunaannya sebagai osilator IC ini dirangkai sedemikian rupa agar mampu untuk men-trigger dirinya sendiri. Contoh rangkaiannya adalah seperti yang diperlihatkan pada Gambar 8.15.

RB

C

TRIG

DIS

THRES

RA

OUT

RST

V CC

Page 93: Perancangan Teknik Digital

Gambar 8.15 Osilator dengan LM555

Pada osilator ini perioda pengisian kapasitor adalah :

T1 = 0,693 x (RA + RB) x C

sedangkan perioda pengosongan kapasitor adalah :

T1 = 0,693 x RB x C

Frekuensi keluaran dari osilator adalah :

f = 1,44 / (RA + 2RB).C

sedangkan duty cycle adalah :

D = (RA + RB)/(RA + 2RB)

8.3.2. Osilator Kristal

Seperti telah dinyatakan sebelumnya, pada beberapa aplikasi dibutuhkan clock dengan frekuensi yang sangat teliti. Clock seperti ini tidak dapat dibangkitkan dengan menggunakan osilator RC karena tingkat ketelitian osilator ini sangat rendah. Sebagai gantinya digunakan osilator kristal. Disebut osilator kristal karena osilator ini menggunakan kristal kwarsa sebagai komponen penentu frekuensinya. Kristal kwarsa memiliki frekuensi resonan yang ditentukan oleh ketebalannya. Umumnya frekuensi resonannya berbanding terbalik dengan ketebalannya.

Kelebihan dari kristal ini ialah frekuensi resonannya sangat akurat dan hanya sedikit terpengaruh oleh suhu ataupun komponen eksternal. Oleh karena itu kristal ini sangat banyak digunakan pada peralatan yang membutuhkan osilator dengan frekuensi yang teliti. Salah satu alat yang paling sering mengunakan osilator kristal adalah jam. Ketelitian dari jam ditentukan oleh ketelitian frekuensi clock yang meng-increment-nya. Jika frekuensi clock keitnggian maka jam akan menjadi terlalu cepat. Sebaliknya jika frekuensi clock terlalu rendah maka jam akan terlalu lambat. Oleh karena itu dibutuhkan osilator yang dapat membangkitkan pulsa clock yang sangat teliti agar jam tidak terlalu cepat atau terlalu lambat.

Osilator kristal dapat dibangun dengan menggunakan gerbang TTL ataupun CMOS. Pada penggunaannya sebagai osilator kristal, gerbang-gerbang yang digunakan dipaksa untuk bekerja didaerah liniernya yang umumnya harus dihindari jika gerbang-gerbang ini digunakan sebagai perangkat logika. Agar dapat berosilasi gerbang-gerbang ini harus bersifat sebagai penguat linier. Hal ini dapat dicapai dengan memberikan umpanbalik dari keluaran ke masukan suatu gerbang melalui sebuah tahanan, seperti yang diperlihatkan pada Gambar 8.16.

N1

RI

Gambar 8.16 Contoh penggunaan umpanbalik pada suatu inverter

Jika keluaran inverter rendah maka arus akan mengalir dari masukan ke keluaran melalui R sehingga memaksa masukan untuk turun ke logika-0. Sebaliknya jika keluaran tinggi maka arus akan mengalir dari keluaran ke masukan melalui R sehingga memaksa masuka untuk naik ke logika-1. Demikian seterusnya sampai tercapai keadaan steady state dimana masukan tidak rendah dan tidak tinggi, sehingga gerbang bekerja pada daerah liniernya.

Nilai tahanan umpanbalik harus disesuaikan dengan jenis gerbang yang digunakan. Nilai ini harus dipilih agar tegangan keluaran gerbang kira-kira setengah tegangan catu. Dengan

Page 94: Perancangan Teknik Digital

demikian maka kisar naik dari tegangan keluaran akan sama dengan kisar turunnya. Contoh rangkaian osilator kristal dengan gerbang TTL dapat dilihat pada Gambar 8.17.

N1 N2

R1 R2

CKRISTALKWARSA

V O

Gambar 8.17 Osilator kristal dengan gerbang TTL

Pada contoh ini digunakan dua buah inverter untuk mendapatkan umpanbalik positip. Masing-masing inverter diberi umpanbalik negatip melalui sebuah tahanan. Kristal kwarsa dihubungkan seri dengan sebuah kapasitor variabel antara keluaran dengan masukan osilator. Fungsi kapasitor variabel disini ialah untuk menala frekuensi agar benar-benar sesuai dengan yang diinginkan dan sekaligus membatasi arus eksitasi dari kristal.

Jika menggunakan gerbang CMOS maka umumnya rangkaian osilator yang digunakan adalah osilator Collpits, dimana kapasitor digunakan pembagi tegangan kapasitip. Contoh rangkaian ini dapat dilihat pada Gambar 8.18.

N1

C1 C2

R

CKRISTALKWARSA

V O

N2

Gambar 8.18 Osilator kristal dengan gerbang CMOS

Inverter N1 dioperasikan sebagai penguat linier dengan memberi umpanbalik negatip melalui tahanan R. Kapasitor C1 dan C2 berfungsi sebagai pembagi tegangan kapasitip. Inverter N2 berfungsi sebagai penyangga agar N1 tidak dibebani oleh beban osilator.

Agar dapat berosilasi maka tegangan masukan atau umpanbalik dari osilator ini harus :

VIN ≥ VO / AV

dimana AV : adalah faktor penguatan tegangan dari gerbang

Jika AV =1 maka VIN ≥ VO

Agar VIN = VO maka C1 = C2

Fungsi kapasitor variabel C adalah untuk menala osilator dan membatasi arus eksitasi dari kristal. Pada rangkaian osilator ini nilai C1 dan C2 biasanya lebih kecil dari 47 pF agar tidak mempengaruhi frekuensi resonan dari kristal. Nilai tahanan R harus dipilih sedemikian rupa agar

Page 95: Perancangan Teknik Digital

tegangan keluaran mendekati VDD/2 pada saat osilator tidak bekerja. Dengan demikian maka tegangan keluaran dapat naik dan turun dengan simpangan yang sama.

8.4. PEMBAGI FREKUENSI

Seperti telah dinyatakan sebelumnya, frekuensi resonan dari suatu kristal kwarsa ditentukan oleh ketebalannya. Oleh karena itu agar ukuran kristal tidak terlalu besar maka umumnya kristal yang tersedia memiliki frekuensi > 10 kHz. Jika osilator kristal akan digunakan pada sebuah jam dengan penunjuk detik, maka frekuensi keluaran osilator harus 1 Hz agar jam di-increment setiap detik. Untuk mendapatkan frekuensi 1 Hz dari osilator 10 kHz diperlukan suatu rangkaian pembagi frekuensi. Rangkaian pembagi frekuensi ini akan membagi frekuensi dengan faktor pembagi tertentu sehingga diperoleh frekuensi keluaran yang sesuai dengan yang diinginkan. Pada saat ini ada beberapa metoda pembagian yang dikenal. Tetapi untuk membatasi pembahasan dibahas pada buku ini hanyalah pembagi frekuensi yang sangat mendasar, yaitu pembagi frekuensi yang membagi frekuensi dengan bilangan integer. Pembahasan tentang pembagian frekuensi dengan bilangan pecahan dapat dilihat pada buku yang membahas tentang instrumentasi digital.

Suatu flip-flop jenis T (toggle) sebenarnya telah berfungsi sebagai pembagi dua tanpa disadari. Jika diagram pewaktuan flip-flop ini diperhatikan, maka hal ini dapat terlihat dengan jelas. Untuk jelasnya perhatikanlah diagram pewaktuan yang diperlihatkan pada Gambar 8.19.

CP

Q

1 2 3 4 5

t

T

6

Gambar 8.19 Hubungan antara masukan dengan keluaran flip-flop T

Ini adalah diagram pewaktuan dari suatu flip-flop jenis T. Pada gambar ini terlihat bahwa keluaran Q naik pada pulsa clock pertama, turun pada pulsa clock kedua dan demikian seterusnya. Jika satu perioda clock adalah t dan satu perioda keluaran Q adalah T maka dari ini terlihat hubungan :

T = 2.t

Karena t = 1/f dan T=1/F

maka : f = 2F

atau F = f/2

Dengan kata lain, frekuensi keluaran dari flip-flop adalah setengah dari frekuensi masukannya. Jika dua buah flip-flop ini dihubungkan secara kaskade maka frekuensi keluarannya menjadi seperempat dari frekuensi masukan. Demikian seterusnya. Hal ini dapat dilihat pada Gambar 8.20.

Page 96: Perancangan Teknik Digital

CP

Q1

1 2 3 4 5

t

T1

6 7 8 9 10

T2

Q2

Gambar 8.20 Hubungan antara masukan dengan keluaran flip-flop T dua tingkat

Pada Gambar 8.20 hubungan antara masukan dengan keluaran adalah :

T2 = 4 t

atau F2 = f/4

Dari penjelasan diatas dapat dikatakan bahwa jika sejumlah flip-flop T dihubungkan deret maka flip-flop akan membagi dua frekuensi masukannya. Dengan kata lain :

fOUT = fCLOCK / 2n

dimana n = jumlah flip-flop

Perlu diingat bahwa sejumlah flip-flop yang dihubungkan deret tidak lain adalah pencacah biner yang tidak serempak atau lebih dikenal sebagai ripple counter. Sebagai contoh adalah pencacah biner 4-bit yang diperlihatkan pada Gambar 8.21.

f

f / 2 f / 4 f / 8 f / 16

Q

T_Q

Q

T_Q

Q

T_Q

Q

T_Q

Gambar 8.21 Pencacah biner 4-bit dengan flip-flop T

Jadi untuk membangkitkan pulsa dengan frekuensi 1 Hz bisa digunakan osilator 32768 Hz dengan pembagi frekuensi berupa pencacah biner 15-bit seperti yang diperlihatkan pada Gambar 8.22.

: 2 : 2 : 2: 2

: 2 : 2 : 2: 2 : 2 : 2 : 2

OSC.

1Hz2Hz4Hz8Hz16Hz32Hz64Hz

: 2 : 2

: 2128

256512102420484096819216384: 2

32768

Page 97: Perancangan Teknik Digital

Gambar 8.22 Osilator dengan rangkaian pembagi frekuensi

Pada beberapa kasus seringkali dibutuhkan pembagian dengan faktor pembagi kelipatan sepuluh. Untuk keperluan ini dapat digunakan pencacah BCD sebagai pembagi frekuensi. Setiap pencacah BCD sebenarnya membagi pulsa clocknya dengan sepuluh. Hal ini dapat dilihat pada diagram pewaktuan yang diperlihatkan pada Gambar 8.23.

1 2 3 4 5 6 7 8 9 10

A

B

C

CLK

D

Gambar 8.23 Diagram pewaktuan pencacah BCD

Dalam satu siklus pencacahan (sepuluh pulsa clock) keluaran C dan D hanya naik satu kali. Dengan demikian maka :

fD = fC = fCLK / 10

Satu-satunya masalah disini ialah duty cycle. Duty cycle dari keluaran C adalah 40% sedangkan duty cycle keluaran D adalah 20%. Tetapi hal ini tidak menjadi masalah karena pada umumnya perangkat yang mambutuhkan pulsa clock tidak membutuhkan pulsa clock dengan duty cycle sebesar 50%. Jika dibutuhkan maka duty cycle dari pulsa keluaran pencacah BCD dapat dibuat menjadi 50%. Hal ini mungin karena pencacah BCD seperti SN7490 terdiri dari dua bagian, yaitu pencacah modulus-2 dan pencacah modulus-5. Diagram balok dari pencacah ini dapat dilihat pada Gambar 8.24.

CLK-A

QA QB QC QD

CLK-B

Gambar 8.24 Diagram balok dari SN7490

Pada penggunaannya sebagai pencacah BCD, pulsa clock diberikan pada CLK-A sedangkan CLK-B dihubungkan ke QA sehingga diperoleh diagram pewaktuan seperti yang diperlihatkan pada Gambar 8.22. Jika akan digunakan sebagai pembagi frekuensi, maka pulsa masukan dapat diberikan pada CLK-B sedangkan CLK-A dihubungkan ke QD. Dengan demikian maka

Page 98: Perancangan Teknik Digital

pulsa masukan akan dibagi lima oleh pencacah modulus-5 untuk kemudian dibagi dua oleh pencacah modulus-2. Dengan demikian maka keluaran pencacah modulus-5 yang duty cycle-nya hanya 20% akan berubah menjadi 50% setelah dibagi dua oleh pencacah modulus-2. Hal ini dapat dilihat pada Gambar 8.25.

1 2 3 4 5 6 7 8 9 10

B

C

CLK

D

A

Gambar 8.25 Diagram pewaktuan pembagi-10

Dengan menggunakan gabungan pencacah biner dan pencacah BCD sebagai pembagi frekuensi maka faktor pembagi frekuensi dapat dibuat bermacam-macam. Frekuensi yang dihasilkan dapat juga disesuaikan dengan memilih kristal kwarsa yang digunakan pada osilator. Sebagai contoh, frekuensi 1 Hz dapat diperoleh dengan menggunakan kristal 4 MHz dan rangkaian pembagi frekuensi seperti yang dipelihatkan pada Gambar 8.26.

OSC.4MHz

:10 :10 :10

:10 :10:10 : 2

400kHz

40kHz

4 kHz

400Hz 40 Hz 4 Hz 1 Hz

:10

4MHz

: 22 Hz

Gambar 8.26 Pembangkit clock 1 Hz dari osilator 4 MHz

Page 99: Perancangan Teknik Digital

BAB 9. PERAGA 7-SEGMENSuatu rangkaian digital seringkali harus mengeluarkan hasil pengolahan dalam bentuk tampilan angka agar dapat langsung dibaca oleh pengguna peralatan tersebut. Untuk menampilkan angka, umumnya digunakan peraga 7-segmen. Nama ini diberikan karena peraga ini memiliki 7 buah segmen yang disusun sedemikian rupa sehingga dapat menampilkan angka 0 sampai 9 dengan menyalakan/memadamkan segmen-segmen tertentu. Susunan dari segmen-segmen ini adalah seperti yang diperlihatkan pada Gambar 9.1.

a

b

c

d

e

f g

Gambar 9.1 Susunan segmen pada peraga 7-segmen

Untuk menampilkan angka 0 maka segmen-segmen yang dinyalakan adalah segmen a, b, c, d, e dan f. Untuk menampilkan angka 1 maka segmen-segmen yang dinyalakan adalah segmen b dan c. Demikian seterusnya sampai dengan angka 9. Untuk lebih jelasnya hubungan angka tampilan dengan segmen yang dinyalakan dapat dilihat pada Tabel 9.1.

Tabel 9.1 Hubungan angka tampilan dengan segmen

Angka a b c d e f g

0 1 1 1 1 1 1 0

1 0 1 1 0 0 0 0

2 1 1 0 1 1 0 1

3 1 1 1 1 0 0 1

4 0 1 1 0 0 1 1

5 1 0 1 1 0 1 1

6 1 0 1 1 1 1 1

7 1 1 1 0 0 0 0

8 1 1 1 1 1 1 1

9 1 1 1 1 0 1 1

Pada tabel 9.1 segmen yang menyala dinyatakan dengan 1 sedangkan segmen yang padam dinyatakan dengan 0. Jika dilihat maka bentuk tampilan angka-angka ini adalah seperti yang diperlihatkan pada Gambar 9.2.

Page 100: Perancangan Teknik Digital

a

b

c

d

e

f g

a

b

c

d

e

f g

a

b

c

d

e

f g

a

b

c

d

e

f g

a

b

c

d

e

f g

a

b

c

d

e

f g

a

b

c

d

e

f g

a

b

c

d

e

f g

a

b

c

d

e

f g

a

b

c

d

e

f g

Gambar 9.2 Tampilan angka dari perraga 7-segmen

Pada saat ini peraga 7-segmen yang banyak digunakan ada dua jenis, yaitu :

        LED (Light Emitting Diode)

        LCD (Liquid Crystal Display)

Selain kedua jenis ini masih ada beberapa jenis lagi seperti gas discharge dan mechanical, namun saat ini jarang digunakan.

9.1. PERAGA LED

Peraga LED menggunakan LED sebagai komponen dasarnya. Pada peraga ini setiap segmen terdiri dari satu atau beberapa buah LED, tergantung dari ukuran peraga. LED yang digunakan ada berwarna merah, jingga ataupun hijau. Sedangkan besar peraga umumnya dihitung dari tinggi angka yang dapat ditampilkan dalam inci. Tinggi angka ini bervariasi, mulai dari 0,2 inch sampai 7 inch atau lebih. LED-LED dari segmen dikemas dalam plastik yang agak buram sehingga cahaya dari LED-LED akan membaur dan menghasilkan intensitas cahaya yang merata.

Jika ditinjau dari hubungannya maka peraga LED 7-segmen terdiri dari :

        Anoda bersama (common anode)

        Katoda bersama (common cathode)

Disebut anoda bersama karena pada peraga ini anoda dari segmen-segmen disatukan sehingga rangkaiannya menjadi seperti yang diperlihatkan pada Gambar 9.

a b c d e f g COMMON

Gambar 9.3 Hubungan peraga 7-segmen anoda bersama

Sama seperti LED biasa, segmen dari peraga ini akan menyala jika LEDnya dialiri arus dari anoda ke katoda. Semakin besar arus, semakin terang nyala LED ini. Tetapi besarnya arus ini harus dibatasi agar tidak melampaui arus maksimum yang dapat diterima oleh LED agar tidak rusak. Untuk membatasi arus ini biasanya pada setiap segmen disisipkan tahanan yang besarnya tertentu. Arus segmen dapat diatur dengan mengatur nilai tahanan ini. Rangkaian ekivalen dari suatu segmen diperlihatkan pada Gambar 9.4.

Page 101: Perancangan Teknik Digital

LED

Q

RV CC

IF

Gambar 9.4 Rangkaian ekivalen dari suatu segmen anoda bersama

Peraga 7-segemen umumnya menggunakan penggerak transistor dengan konfigurasi kolektor terbuka. LED dinyalakan dengan membuat transistor menghantar sampai jenuh (saturate) dan dipadamkan dengan membuat transistor menyumbat (cutoff). Jika pada saat transistor jenuh besarnya arus I adalah :

R

VVVI CE(SAT)FCC

dimana : VCC : tegangan catu

VF : tegangan jatuh maju pada LED

VCE(SAT) : tegangan jatuh kolektor-emiter pada saat transistor jenuh

Pada transistor yang modern VCE(SAT) adalah sangat kecil, sekitar 0,2 Volt sehingga dapat diabaikan. VF dari LED bervariasi, tergantung pada warnanya. Sebagai contoh, VF dari LED merah umumnya sekitar 2,2 Volt. Selain itu, pada beberapa peraga 7-segmen yang besar, LED-LED dari suatu segmen kadang-kadang dihubungkan seri sehingga tegangan pada segmen adalah jumlah tegangan jatuh dari seluruh LED pada segmen tersebut. Untuk itu diperlukan tegangan catu yang lebih tinggi. Untuk menghadapi kemungkin ini maka ada penggerak peraga LED 7-segmen dari keluarga TTL dirancang untuk mampu bekerja dengan tegangan sampai 30 Volt.

Salah satu penggerak peraga LED 7-segmen anoda bersama dari keluarga TTL adalah SN7447. Pada penggunannya IC ini dirangkai seperti yang diperlihatkan pada Gambar 9.5.

Page 102: Perancangan Teknik Digital

SN7447

a b c d e f g

A B C D

a b c d e f g

COM. ANODE

V CC

Gambar 9.5 Penggerak peraga LED 7-segmen anoda bersama

Selain sebagai penggerak peraga 7-segmen, IC ini juga berfungsi sebagai dekoder BCD ke 7-segmen sehingga hanya membutuhkan 4 masukan, yaitu A, B, C dan D. Angka yang ditampilkan adalah sesuai dengan nilai bilangan BCD yang diberikan pada masukannya.

Karena masukannya terdiri dari 4-bit maka IC ini mungkin saja menerima masukan yang lebih besar dari 9. Jika hal ini terjadi maka peraga akan menampilkan karakter yang bukan merupakan angka. Khusus untuk masukan 11112, maka semua segmen akan padam.

Selain masukan dan keluaran standard SN7447 juga mempunyai fasilitas untuk memadamkan leading zeros (tampilan nol dikiri) dan trailing zeros (tampilan nol dikanan). Hal ini diperlukan untuk memadamkan tampilan nol yang tidak perlu, misalnya 000,000. Tampilan ini sebaiknya ditampilkan sebagai 0,000 dengan memadamkan dua buah nol yang paling kiri. Jika diinginkan maka dua buah nol yang dikanan juga dapat dipadamkan sehingga tampilan menjadi 0,0.

Peraga LED 7-segmen katoda bersama dapat digunakan seperti peraga LED 7-segmen anoda bersama. Bedanya ialah pada kasus ini dibutuhkan penggerak dengan kemampuan pull-up untuk menginjeksikan arus ke segmen-segmen. Rangkaian ekivalen dari suatu segmen diperlihatkan pada Gambar 9.6.

LED

Q

RV CC

IF

Gambar 9.6 Rangkaian ekivalen dari suatu segmen katoda bersama

Agar bisa melakukan pull-up maka transistor keluaran yang digunakan umumnya adalah jenis PNP. Penggerak seperti ini disediakan oleh keluarga TTL dan CMOS. Besarnya arus LED bisa diatur dengan mengatur nilai tahanan seri.

Page 103: Perancangan Teknik Digital

Salah satu penggerak dari keluarga TTL ialah SN7448. Rangkaian IC ini diperlihatkan pada Gambar 9.7.

SN7448

a b c d e f g

A B C D

a b c d e f g

COM. CATHODE

Gambar 9.7 Penggerak peraga LED 7-segmen katoda bersama

9.2. PERAGA LCD

Berbeda dengan peraga LED, peraga LCD tidak menggunakan LED sebagai komponen dasarnya. Pada peraga LCD digunakan bahan yang diesbut liquid crystal atau kristal cair. Kristal cair ini memiliki molekul- molekul yang berbentuk seperti cerutu dan sangat peka terhadap medan listrik. Kristal cair ini dikemas dalam suatu wadah transparan yang pada sisi belakangnya diberi penghantar transparan dan reflektor. Pada sisi depan dari wadah ini diberi penghantar-penghantar transparan yang berbentuk seperti segmen yang ingin ditampilkan. Struktur dari LCD ini diperlihatkan pada Gambar 9.8.

BACKPLANE

REFLECTOR

MOLECULES

SEGMENT

Gambar 9.8 Struktur peraga LCD

Jika tidak mendapat eksitasi berupa medan listrik, molekul-molekul dari kristal cair ini akan tersusun tegak sehingga tidak terlihat oleh mata. Tetapi jika diberi eksitasi maka molekul-molekul ini akan rebah sehingga membentuk tampilan. Bentuk tampilan yang ditampilkan adalah sama dengan moleku-molekul yang rebah, yaitu sama dengan bentuk segmen yang mengeksitasinya. Eksitasi yang diberikan ialah berupa medan listrik yang diperoleh dengan memberi beda potensial antara penghantar segmen dengan penghantar backplane. Selama ada beda potensial antara segmen dengan backplane maka molekul-molekul yang ada diantara keduanya akan rebah dan memberi warna hijau kehitaman pada bagian ini.

Page 104: Perancangan Teknik Digital

Jika diberi eksitasi secara terus menerus dalam jangka waktu yang lama, LCD akan rusak dan memberi bayangan hitam yang permanen. Untuk mencegah hal ini, maka eksitasi yang diberikan tidak berupa tegangan searah tetapi tegangan bolak-balik. Hal ini agak sulit diterapkan pada rangkaian dengan catu daya tegangan searah. Agar lebih mudah maka cara yang digunakan ialah dengan memberikan tegangan pada segment dan bumi pada backplane untuk beberapa saat lalu memberikan tegangan pada backplane dan bumi pada segment untuk beberapa saat. Hal ini dilakukan terus menerus secara bergantian sehingga polaritas tegangan segmen selalu berlawanan dengan polaritas tegangan backplane. Efeknya adalah sama dengan memberi tegangan bolak-balik pada segmen atau backplane. Hal ini dapat dilihat pada Gambar 9.9.

SEGMEN BACKPLANE

t

Gambar 9.9 Tegangan segmen dan backplane

Jika suatu segmen harus dipadamkan maka segmen yang bersangkutan diberi polaritas tegangan yang sama dengan polaritas backplane. Dengan demikan maka molekul-molekul yang terletak dibelakang segmen tersebut tidak mendapat eksitasi.

Salah satu kelebihan LCD dari LED ialah konsumsi dayanya yang sangat rendah, yaitu hanya beberapa mikroWatt.

Page 105: Perancangan Teknik Digital

BAB 10. BEBERAPA CONTOH RANCANGANPerancangan suatu peralatan umumnya tidak sama dengan perancangan peralatan lain. Suatu peralatan yang akan dirancang seringkali memiliki sesuatu yang khas sehingga memerlukan perhatian khusus dalam perancangannya. Seringkali muncul persoalan yang harus diselesaikan secara intuisi karena tidak ada teori yang dapat digunakan unutk menyelesaikan persoalan tersebut.

Pada bab ini dibahas beberapa contoh rancangan peralatan digital beserta tahapan perancangan yang dilalui.

10.1. PERANCANGAN JAM DIGITAL

Jam digital dapat dirancang dan dirakit dengan menggunakan komponen elektronika yang umum dan mudah diperoleh dipasaran. Untuk keperluan ini kita dapat menggunakan perangkat TTL atau CMOS sebagai perangkat logika, tergantung pada spesifikasi yang dibuat. Jika yang dibutuhkan adalah jam dengan catu daya batere maka sebaiknya digunakan perangkat CMOS. Tetapi jika konsumsi daya bukan masalah, maka perangkat TTL merupakan pilihan yang lebih baik karena lebih mudah untuk ditangani dan tidak peka terhadap muatan listrik statis.

10.1.1. Pencacah

Jam digital pada dasarnya terdiri dari pencacah yang mendapat pulsa clock sebesar 1 Hz dimana isi pencacah langsung ditampilkan pada peraga 7-segmen tanpa menggunakan rangkaian latch.

Tahap awal dari perancangan ini ialah menentukan jumlah digit dari jam yang akan dibuat. Jika harus dapat menampilkan detik maka jam ini harus memiliki 6-digit dengan format tampilan JJ : MM : DD, dimana J adalah jam, M adalah menit dan D adalah detik. Tetapi jika tidak perlu menampilkan detik maka 4-digit sudah cukup sehingga tampilan menjadi JJ : MM.

Selanjutnya harus ditentukan modus hitungan, apakah modus tampilan 24 jam atau 12 jam. Jika yang dipilih adalah modus 24 jam maka nilai tertinggi yang harus ditampilkan adalah 23:59: 59 setelah mana tampilan harus berubah menjadi 00:00:00. Tetapi jika yang dipilih adalah modus 12 jam maka nilai tertinggi yang ditampilkan adalah 12:59:59 setelah mana tampilan harus berganti menjadi 1:00:00.

Setelah modus hitungan ditentukan maka modulus dari pencacah yang digunakan dapat ditentukan. Sebagai contoh perancangan pertama dipilih jam 6-digit dengan modus hitungan 24 jam. Diagram balok dari jam ini diperlihatkan pada Gambar 10.1.

Page 106: Perancangan Teknik Digital

PENCACAH1

DEKODER DEKODER DEKODER DEKODER DEKODER DEKODER

JAM MENIT DETIK

CLOCK1 Hz

PENCACAH2

PENCACAH3

PENCACAH5

PENCACAH4

PENCACAH6

SATUANPULUHANSATUANPULUHANSATUANPULUHAN

Gambar 10.1 Diagram balok dari jam 6-digit

Pencacah-1 berfungsi sebagai pencacah detik satuan sehingga pencacah ini harus dapat mencacah dari 0 sampai 9. Oleh karena itu pencacah BCD dapat digunakan untuk keperluan ini. Pencacah-2 berfungsi sebagai pencacah detik puluhan sehingga hanya perlu menghitung dari 0 sampai 5. Oleh karena itu untuk pencacah ini harus digunakan pencacah modulus-6. Untuk pencacah menit terjadi hal yang sama. Pencacah-3 yang berfungsi sebagai pencacah menit satuan harus mampu mencacah dari 0 sampai 9 sehingga dapat menggunakan pencacah BCD. Pencacah-4 yang berfungsi sebagai pencacah menit puluhan hanya mencacah dari 0 sampai 5 sehingga harus menggunakan pencacah modulus-6.

Pencacah-5 berfungsi sebagai pencacah jam satuan. Pada dua putaran pertama, pencacah ini harus mampu mencacah dari 0 sampai 9 tetapi pada putaran ketiga hanya harus mencacah dari 0 (pada jam 20) sampai 3 (pada jam 23) setelah mana harus kembali ke 0 (pada jam 24:00:00). Hal ini membuat perancangan menjadi sulit. Pencacah-6 berfungsi sebagai pencacah jam puluhan sehingga pencacah ini hanya perlu mencacah dari 0 sampai 2 dan harus kembali menjadi 0 pada jam 24:00:00. Oleh karena itu pencacah yang dibutuhkan disini adalah pencacah modulus-3.

Jika dirancang secara terpisah maka rangkaian untuk pencacah-5 dan pencacah-6 ini akan sangat rumit. Untuk menyederhanakan persoalan maka kedua pencacah ini harus dianggap sebagai satu pencacah modulus-24. Dengan cara ini maka rangkaian akan menjadi lebih sederhana.

Tahap berikutnya adalah menentukan perangkat untuk masing-masing pencacah. Pencacah-1 dan pencacah-3 membutuhkan pencacah BCD (BCD counter). Jika menggunakan perangkat TTL maka IC yang sesuai untuk keperluan ini ialah SN7490. Pinout diagram dari IC ini diperlihatkan pada Gambar 10.2.

Page 107: Perancangan Teknik Digital

1 2 3 4 5 6 7

814 13 12 11 10 9

INPUTA

INPUTB

QA QB QCQD

R(0)2

R(0)1

V CC

GND

NC

NC

MOD-5

A B CD

R(9)2

R(9)1

Gambar 10.2 Pinout diagram dari SN7490

Dari Gambar 10.2 terlihat bahwa pencacah ini terdiri dari dua bagian, yaitu pencacah modulus-2 dan pencacah modulus-5. Pada penggunaannya sebagai pencacah BCD maka pulsa clock diberikan pada input-A sedangkan input-B mendapat pulsa clock dari QA.

Sebagai pencacah-2 dan pencacah-4 harus digunakan pencacah modulus-6. Untuk ini dapat digunakan IC SN7492. IC ini juga terdiri dari dua bagian. Bagian pertama adalah pencacah modulus-2 sedangkan bagian kedua adalah pencacah modulus-6. Pinout diagram dari pencacah ini diperlihatkan pada Gambar 10.3.

1 2 3 4 5 6 7

814 13 12 11 10 9

INPUTA

INPUTB

QA QB QCQD

R(0)2

R(0)1

VCC

GND

NC

NC

MOD-6

A B CD

NC NC

Gambar 10.3 Pinout diagram dari SN7492

Pada penggunaannya sebagai pencacah modulus-6, bagian pertama tidak digunakan. Pulsa clock diberikan pada input-B dan keluaran diambil dari QB, QC dan QD. Rangkaian pencacah untuk detik dan menit ini diperlihatkan pada Gambar 10.4.

SN7490

Q D Q C Q B Q A B

A

SN7492

Q D Q C Q B Q A

B

AR(0)1 R(0)2R(0)1 R(0)2

SATUANPULUHAN

CLOCKR(9)2R(9)1

NC

NC

Gambar 10.4 Pencacah untuk menit dan detik

Page 108: Perancangan Teknik Digital

Pencacah-5 dan pencacah-6 masing-masing berfungsi untuk jam satuan dan jam puluhan. Seperti talah dinyatakan sebelumnya, pencacah jam satuan harus dapat mencacah dari 0 hingga 9 pada 20 jam pertama dan mencacah dari 0 sampai 3 untuk 3 jam berikutnya. Ini akan menyulitkan perancangan ini dan harus diselesaikan dengan menggunakan teknik khusus. Pencacah untuk jam puluhan merupakan pencacah modulus-3 yang mencacah dengan urutan 0, 1, 2 dan kembali ke 0. Kedua pencacah ini akan sulit untuk dirancang jika dirancang secara terpisah. Tetapi jika kedua pencacah ini dianggap sebagai suatu pencacah BCD modulus-24, maka perancangan akan jauh lebih mudah. Pencacah modulus-24 adalah pencacah yang harus reset pada cacahan ke-24. Pulsa reset ini dapat diperoleh dengan meng-AND-kan keluaran-keluaran yang tinggi dari pencacah pada pulsa clock ke-24. Dengan demikian maka pencacah ini hanya akan mampu untuk mencacah dari 0 sampai 23. Rangkaian pencacah ini adalah seperti yang diperlihatkan pada Gambar 10.5.

Pada gambar ini terlihat bahwa kedua pencacah akan mendapat pulsa reset dari gerbang AND jika keluaran QB dari puluhan dan keluaran QC dari satuan berlogika tinggi. Dengan kata lain, kedua pencacah akan di-reset jika pencacah mengeluarkan nilai 0010 01002 atau 2410.

SN7490

Q D Q C Q B Q A B

A

SN7490

Q D Q C Q B Q A

B

AR(0)1 R(0)2R(0)1 R(0)2

SATUANPULUHAN

CLOCKR(9)2R(9)1R(9)2R(9)1

N1

Gambar 10.5 Pencacah untuk jam satuan dan puluhan

Pada gambar ini terlihat bahwa kedua pencacah akan mendapat pulsa reset dari gerbang N1. Keluaran gerbang ini akan tinggi jika keluaran QB dari pencacah puluhan dan keluaran QC dari pencacah satuan berlogika tinggi. Dengan kata lain, kedua pencacah akan di-reset jika pencacah mengeluarkan nilai 0010 01002 atau 2410.

10.1.2. Rangkaian Basis Waktu

Pulsa clock yang dibutuhkan untuk jam ini adalah pulsa dengan frekuensi 1 Hz. Pulsa ini dapat diperoleh dari rangkaian basis waktu yang terdiri dari osilator kristal 1 MHz dan pembagi frekuensi dengan faktor pembagi 1.000.000. Rangkaian basis waktu ini diperlihatkan pada Gambar 10.6.

Page 109: Perancangan Teknik Digital

IC1a IC1b

R1 R2

C1X1

1 MHz

A

Q AB

Q D A

Q AB

Q D

A

Q AB

Q D A

Q AB

Q D A

Q AB

Q D

A

Q AB

Q D

1 MHz 100kHz

1kHz 100Hz 10Hz1Hz

IC2 IC3

IC4 IC5 IC6 IC7

10kHz

2Hz

Gambar 10.6 Rangkaian basis waktu untuk jam

IC1a dan IC1b membentuk osilator 1 MHz sementara IC2 sampai IC7 adalah SN7490 (Decade Counter) yang masing-masing berfungsi sebagai pembagi-10 sehingga total pembagian adalah 1.000.000. Berbeda dengan IC2 sampai IC6, IC7 dirangkai sebagai pembagi-5 yang disusul dengan pembagi-2. Dengan demikian maka pada keluaran IC7 diperoleh pulsa clock dengan frekuensi 2 Hz dan 1 Hz.

10.1.3. Penyesuaian Waktu

Agar penunjukan waktu jam ini benar maka jam ini perlu di-set untuk menyesuaikannya dengan waktu yang benar. Untuk itu diperlukan fasilitas untuk me-reset detik, men-set menit dan men-set jam. Untuk ini maka rangkaian-rangkaian pencacah perlu diubah sedikit. Untuk me-reset detik maka masukan R(0)1 dan R(0)2 dari pencacah detik harus diberi logika-1 yang diperoleh dengan menekan suatu tombol. Untuk itu maka rangkaian pencacah detik dapat diubah menjadi seperti yang diperlihatkan pada Gambar 10.7.

SN7490

Q D Q C Q B Q A B

A

SN7492

Q D Q C Q B Q A

B

AR(0)1 R(0)2R(0)1 R(0)2

SATUANPULUHAN

CLOCKR(9)2R(9)1

NC

NC

S1

R1V CC

KEPENCACAH

MENIT

Gambar 10.7 Pencacah detik yang dapat di-reset

Jika sakelar S1 ditekan maka masukan reset R(0)1 dan R(0)2 dari kedua pencacah akan mendapat logika-1 sehingga keduanya akan reset.

Untuk men-set menit, masukan pencacah menit harus dilepas dari keluaran pencacah detik dan diberi pulsa masukan untuk meng-increment-nya. Dalam hal ini frekuensi pulsa masukan dipilih

Page 110: Perancangan Teknik Digital

2 Hz agar peningkatan isi pencacah tidak terlalu cepat dan tidak terlalu lambat. Jika terlalu cepat maka isi pencacah akan bertambah terlalu cepat sehingga ada kemungkinan nilai yang diinginkan terlewati. Agar dapat mengalihkan masukan pencacah dari keluaran pencacah detik ke pulsa 2 Hz, perlu ditambahkan suatu rangkaian multiplekser atau data selektor. Dengan penambahan ini maka rangkaian pencacah menit berubah menjadi seperti yang diperlihatkan pada gambar 10.8.

SN7490

Q D Q C Q B Q A B

A

SN7492

Q D Q C Q B Q A

B

AR(0)1 R(0)2R(0)1 R(0)2

SATUANPULUHAN

VCC

R(9)2R(9)1

NC

NC

IC9a

IC8a

IC8b

IC1c

S2

R2

DARIPENCACAH

DETIK

2 Hz DARIPEMBAGI

FREKUENSI

Gambar 10.8 Pencacah menit yang dapat di-set

Jika sakelar S2 ditekan maka IC8a akan menjadi enable sehingga pulsa 2 Hz dari pembagi frekuensi akan mengisi pencacah. Sebaliknya jika S2 tidak ditekan maka IC8b akan enable sehingga pencacah akan menerima pulsa masukan dari limpahan (carry) pencacah detik.

Hal yang sama juga harus dilakukan pada pencacah jam agar pencacah ini dapat di-set guna menyesuaikan waktu. Isi pencacah jam harus di-increment jika sebuah sakelar ditekan. Fungsi sakelar ini adalah untuk mengalihkan masukan pencacah jam dari limpahan pencacah menit ke pulsa 2 Hz yang diperoleh dari tangkaian pembagi frekuensi. Dengan penambahan ini maka rangkaian pencacah jam berubah menjadi seperti yang diperlihatkan pada Gambar 10.9.

SN7490

Q D Q C Q B Q A B

A

SN7490

Q D Q C Q B Q A

B

AR(0)1 R(0)2R(0)1 R(0)2

SATUANPULUHAN

R(9)2R(9)1R(9)2R(9)1

VCC

IC9b

IC8c

IC8d

IC1d

S3

R2

DARIPENCACAH

MENIT

2 Hz DARIPEMBAGI

FREKUENSI

Gambar 10.9 Pencacah jam yang dapat di-set

Jika sakelar S3 ditekan maka IC8c akan neable sehingga pulsa 2 Hz dari pembagi frekuensi akan mengisi pencacah. Tetapi jika sakelar ini tidak ditekan, maka IC8d yang akan enable sehingga pencacah mendapat masukan dari pulsa limpahan pencacah menit.

Page 111: Perancangan Teknik Digital

Dengan menambahkan rangkaian dekoder dari peraga 7-segmen maka rangkaian lengkap dari jam digital ini menjadi seperti yang diperlihatkan pada 10.10.

SN7490

Q D Q C Q B Q A B

A

SN7492

Q D Q C Q B Q A

B

AR(0)1 R(0)2R(0)1 R(0)2 R(9)2R(9)1

NC

NC

SN7490

Q D Q C Q B Q A B

A

SN7490

Q D Q C Q B Q A

B

AR(0)1 R(0)2R(0)1 R(0)2 R(9)2R(9)1R(9)2R(9)1

SN7490

Q D Q C Q B Q A B

A

SN7492

Q D Q C Q B Q A

B

AR(0)1 R(0)2R(0)1 R(0)2 R(9)2R(9)1

NC

NC

IC1c

S1

R1V CC

SN7447

a b c d e f g

ABCD

a b c d e f g

COM. ANODE

V CC

SN7447

a b c d e f g

ABCD

a b c d e f g

COM. ANODE

V CC

SN7447

a b c d e f g

ABCD

a b c d e f g

COM. ANODE

V CC

SN7447

a b c d e f g

a b c d e f g

COM. ANODE

V CC

ABC

SN7447

a b c d e f g

a b c d e f g

COM. ANODE

V CC

ABC

SN7447

a b c d e f g

ABCD

a b c d e f g

COM. ANODE

V CC

V CC

IC9a

IC8a

IC8b

IC1c

S2

R2V CC

IC9b

IC8c

IC8d

IC1d

S3

R2

A

Q AB

Q D A

Q AB

Q D

1 MHz 100kHz

IC2 IC3

10kHzA

Q AB

Q D A

Q AB

Q D A

Q AB

Q D

A

Q AB

Q D

1kHz 100Hz 10Hz 1Hz

IC4 IC5 IC6 IC7

2HzIC1a IC1b

R1 R2

C1X1

1 MHz

DETIKMENITJAM

Gambar 10.10 Rangkaian lengkap dari jam digital 6-digit 24 jam

Pada jam digital 6-digit dengan modus hitungan 12 jam kasusnya sedikit berbeda dari jam dengan modus hitungan 24 jam. Pada jam dengan modus hitungan 12 jam, pencacah jam satuan harus mencacah dari 1 sampai 9 untuk sembilan jam pertama selanjutnya mencacah dari 0 sampai 3 untuk tiga jam berikutnya setelah mana harus kembali ke 1. Pencacah jam puluhan hanya harus mencacah dari 0 sampai 1 setelah mana kembali ke 0. Dari penjelasan ini maka dapat dilihat bahwa pencacah puluhan adalah pencacah modulus-2. Pencacah satuan agak sulit dirancang jika dianggap sebagai pencacah yang independen. Tetapi jika pencacah satuan dan puluhan digabung dan dianggap sebagai pencacah BCD modulus-13 maka pencacah ini akan lebih mudah untuk dirancang. Tabel keadaan dari pencacah ini dapat dilihat pada Tabel 10.1

Tabel 10.1 Tabel keadaan pencacah jam modus 12 jam

Keluaran

Sekarang

EF DCBA

Berikut

EF DCBA

00 0001 00 0010

00 0010 00 0011

00 0011 00 0100

00 0100 00 0101

00 0101 00 0110

00 0110 00 0111

Page 112: Perancangan Teknik Digital

00 0111 00 1000

00 1000 00 1001

00 1001 01 0000

01 0000 01 0001

01 0001 01 0010

01 0010 00 0001

Dari Tabel 10.1 terlihat bahwa keluaran terbesar adalah 01 00102 atau 1210 setelah mana pencacah akan kembali ke nilai 00 00012. Jadi nilai 00 00002 tidak pernah terjadi, kecuali pada saat catu daya pertama sekali dinyalakan.

Kesulitan pada perancangan pencacah untuk jam ini ialah mem-preset pencacah ke nilai 00 00012 setelah nilai 01 00102. Untuk keperluan ini harus digunakan pencacah BCD yang dapat di-preset (presettable BCD counter) seperti SN74190. IC ini adalah pencacah naik/turun yang dapat di-preset. Pinout diagram dari IC ini dapat dilihat pada Gambar 10.11.

SN74190

Q D Q C Q B Q A DN

CP

KELUARAN

D D D C D B D A

CE

MASUKAN

RC

TC

LD

Gambar 10.11 Pinout diagram dari SN74190

Jika masukan LD diberi logika-0 maka data masukan akan dimasukkan ke pencacah. Arah cacahan ditentukan oleh nilai logika dari masukan DN. Jika masukan ini diberi logika-1 maka pencacah akan mencacah turun setiap kali masukan CP (clock) beralih dari rendah ke tinggi. Sebaliknya jika masukan ini diberi logika rendah maka pencacah akan mencacah naik. CE merupakan masukan untuk meng-enable clock. Pulsa clock pada masukam CP baru berfungsi jika CE diberi logika-0. Perlu diperhatikan bahwa masukan clock dari IC ini membutuhkan transisi naik sehingga membutuhkan suatu inverter untuk membalik limpahan dari pencacah menit. Keluaran dari Keluaran RC (ripple count) akan rendah setiap kali pencacah melimpah pada saat mencacah naik sedangkan keluaran TC (terminal count) akan naik setiap kali pencacah mencapai nilai 0 pada saat mencacah turun. Pada penggunaannya disini pencacah ini dioperasikan sebagai pencacah naik. Oleh karena itu masukan CE dan DN dihubungkan ke bumi. Agar dapat di-preset ke nilai 1 maka data masukan yang diberikan adalah 00012. Untuk itu masukan D0 dihubungkan ke VCC sementara yang lainnya dibumikan.

Sebagai pencacah jam puluhan dapat digunakan sebuah flip-flop, karena yang diperlukan untuk ini hanyalah pencacah 1-bit. Flip-flop yang digunakan disini adalah SN7476 yang merupakan flip-flop JK ganda (Dual JK flip-flop). Pada rangkaian jam ini flip-flop ini dioperasikan sebagai flip-flop T dengan memberi logika-1 pada masukan J dan K. Flip-flop ini akan berguling pada setiap transisi menurun dari masukan CP-nya.

Dengan demikian maka rangkaian pencacah untuk jam ini menjadi seperti yang diperlihatkan pada Gambar 10.12.

Page 113: Perancangan Teknik Digital

SN74190

Q D Q C Q B Q A

DN

CP

D D D C D B D A

CE

RC

TC

LD

V CC

SN7476

J

K

Q

_Q CLR

V CCLIMPAHANPENCACAH

MENIT

SN7447

a b c d e f g

A B C D

a b c d e f g

COM. ANODE

V CC

Q1R1

a b c d e f g

COM. ANODE

V CC

R2

Gambar 10.12 Pencacah modulus-13 yang di-preset ke nilai 1

Setiap kali gabungan pencacah ini mengeluarkan nilai 1310, flip-flop SN7476 akan di-reset dan pencacah SN74190 akan di-preset ke nilai 1 sehingga keluaran akan kembali ke 0 00012. Peraga untuk jam puluhan tidak memerlukan dekoder BCD ke 7-segmen karena yang harus dilakukan hanya menyalakan/memadamkan segmen b dan c dari peraga sementara segmen-segmen lain tidak pernah menyala. Agar dapat menangani arus yang lebih besar maka keluaran flip-flop disangga dengan sebuah transistor, dalam hal ini Q1. Jika keluaran flip-flop tinggi, maka transistor Q1 akan mendapat arus basis yang cukup besar sehingga jenuh. Akibatnya arus kolektor akan menyalakan segmen b dan c sehingga peraga jam puluhan akan menampilkan angka “1”. Jika keluaran flip-flop rendah maka transistor tidak mendapat arus basis sehingga peraga jam puluhan akan padam.

Untuk jam dengan tampilan 4-digit, peraga yang digunakan cukup 4 buah sehingga IC dekoder untuk detik dapat ditiadakan. Pencacah detik tetap digunakan karena diperlukan sebagai pembagi-60 untuk membagi pulsa 1 Hz agar diperoleh pulsa dengan frekuensi 1/60 Hz.

Jika diinginkan maka diantara peraga jam dengan peraga menit dapat ditambahkan colon (titik dua) yang terdiri dari dua buah LED yang dibuat berkedip untuk menunjukkan bahwa jam beroperasi. LED ini dapat dinyalakan oleh sebuah transistor yang mendapat arus basis dari pulsa 1 Hz yang dihasilkan oleh rangkaian pembagi frekuensi. Rangkaian penggerak colon ini dapat dilihat pada Gambar 10.13.

Page 114: Perancangan Teknik Digital

R5

R3

R4

V CC

1 Hz

Gambar 10.13 Rangkaian penggerak colon

Dengan demikian maka rangkaian lengkap dari jam ini menjadi seperti yang diperlihatkan pada Gambar 10.14.

SN7490

Q D Q C Q B Q A B

A

SN7492

Q D Q C Q B Q A

B

AR(0)1 R(0)2R(0)1 R(0)2 R(9)2R(9)1

NC

NC

SN7490

Q D Q C Q B Q A B

A

SN7492

Q D Q C Q B Q A

B

AR(0)1 R(0)2R(0)1 R(0)2 R(9)2R(9)1

NC

NC

SN7447

a b c d e f g

ABCD

a b c d e f g

COM. ANODE

V CC

SN7447

a b c d e f g

a b c d e f g

COM. ANODE

V CC

ABC

V CC

IC9a

IC8a

IC8b

IC1c

S1

R1V CC

IC9b

IC8c

IC8d

IC1d

S3

R2

A

Q AB

Q D A

Q AB

Q D

1 MHz 100kHz

IC2 IC3

10kHzA

Q AB

Q D A

Q AB

Q D A

Q AB

Q D

A

Q AB

Q D

1kHz 100Hz 10Hz 1Hz

IC4 IC5 IC6 IC7

2HzIC1a IC1b

R1 R2

C1X1

1 MHz

MENITJAM

LD1

LD2

R5

Q1

R3

R4

V CC

a b c d e f g

COM. ANODE

V CC

SN7447

a b c d e f g

A B C D

a b c d e f g

COM. ANODE

V CC

Q1R1

R2 SN74190

Q D Q C Q B Q A

DN

CP

D D D C D B D A

CE

RC

TC

LD

V CC

SN7476

J

K

Q

_Q CLR

V CC IC1d

IC10a

COLON

Gambar 10.14 Rangkaian lengkap jam digital 4-digit

10.1.4. Catu Daya

Catu daya yang diperlukan untuk jam ini adalah catu daya dengan keluaran tunggal sebesar 5 VDC. Tegangan ini dapat diperoleh dari jala-jala setelah tegangan jala-jala diturunkan dengan menggunaakan trafo dan disearahkan. Agar tegangan keluaran stabil dan bebas dari fluktuasi tegangan jala-jala maka tegangan searah yang dihasilkan dapat diregulasi dengan menggunakan regulator seri seperti yang diperlihatkan pada Gambar 10.15.

Page 115: Perancangan Teknik Digital

T1D1

D2

C1 C4C2 C3

F1IC10

+5V

Gambar 10.15 Rangkaian catudaya untuk jam digital

Untuk menentukan kapasitas komponen-komponen dari catudaya ini perlu diketahui arus total dari jam digital ini. Kesulitan dalam perhitungan arus total ini ialah perbedaan konsumsi arus dari IC jenis TTL pada saat mengeluarkan logika-0 dengan logika-1. Untuk mendapatkan keandalan yang tinggi maka sebaiknya dipilih konsumsi arus yang terbesar. Demikian pula halnya dengan peraga 7-segmen. Konsumsi arus dari peraga ini ditentukan oleh jumlah segmen yang menyala. Agar tidak kekurangan maka sebaiknya arus untuk peraga dihitung pada saat seluruh segmen menyala. Dengan demikian maka besarnya arus total ini adalah merupakan jumlah dari arus seluruh IC ditambah dengan jumlah arus dari seluruh segmen peraga. Kapasitas arus dari trafo, dioda dan IC10 yang merupakan regulator seri harus mampu untuk mencatu arus total ini.

10.2. PERANCANGAN ALAT UKUR FREKUENSI

Alat ukur frekuensi merupakan salah satu alat ukur yang dibutuhkan di laboratorium elektronika yang mahal harganya. Satu-satunya komponen yang sulit diperoleh adalah IC yang berfungsi sebagai pembagi awal (prescaler) yang memungkinkan alat untuk mengukur frekuensi diatas 50 MHz. Untuk daerah pengukuran frekuensi yang terbatas, alat ukur ini dapat dirakit dengan menggunakan komponen yang umum dan mudah diperoleh.

Prinsip kerja alat ini adalah menghitung jumlah pulsa gelombang tegangan dari sinyal yang akan diukur frekuensinya selama rentang waktu tertentu, misalnya 1 detik.

Frekuensi suatu sinyal umumnya dinyatakan dengan Hertz, kilo Hertz, Mega Hertz ataupun Giga Hetz. Satuan dalam Hertz menyatakan jumlah siklus tegangan yang dihasilkan dalam 1 detik. Dengan kata lain, jika frekuensi suatu sinyal adalah 1 Hz maka dalam 1 detik dihasilkan 1 siklus lengkap tegangan. Jika frekuensi suatu sinyal 1kHz maka dalam 1 detik dihasilkan 1000 siklus lengkap. Demikian seterusnya. Jadi frekuensi suatu sinyal dalam Hertz dapat diukur dengan menghitung jumlah pulsa atau gelombang sinyal tersebut dalam 1 detik. Hubungan antara jumlah pulsa dengan frekuensi suatu sinyal adalah :

P = f x TG

Dimana P = jumlah pulsa

f = frekuensi sinyal

TG = masa pengukuran

Jadi jumlah pulsa yang diperoleh dari suatu sinyal adalah berbanding lurus dengan lamanya masa pengukuran. Hal ini sangat mudah untuk diimplementasikan dengan menggunakan sistem digital. Yang perlu dilakukan disini ialah menyalurkan pulsa atau gelombang tegangan dari sinyal yang diukur ke suatu pencacah selama waktu tertentu. Penyaluran pulsa ini dapat dilakukan dengan bentuan sebuah gerbang AND. Diagram balok dari alat ukur ini adalah seperti yang diperihatkan pada Gambar 10.16.

Page 116: Perancangan Teknik Digital

PencacahBCD

Penyangga

Dekoder

PengkondisiSinyal

BasisWaktu

LogikaPengatur

Reset

Latch

T G

Masukan

Gambar 10.16 Diagram balok alat ukur frekuensi

Dari gambar ini terlihat bahwa alat ukur frekuensi ini terdiri dari beberapa bagian, yaitu :

        Pencacah

        Penyangga

        Dekoder

        Peraga

        Basis Waktu

        Logika Pengatur

        Pengkondisi Sinyal

10.2.1. Rangkaian Pencacah, Penyangga, Dekoder dan Peraga

Pencacah berfungsi untuk mencacah pulsa masukan yang diterima melalui gerbang AND. Penyangga (latch) berfungsi unutk menyangga hasil cacahan sebelumnya sementara pencacah melakukan pencacahan yang baru. Dekoder akan mengubah data yang tersimpan pada penyangga untuk ditampilkan pada peraga 7-segmen agar hasil pengukuran dapat langsung dibaca. Basis waktu berfungsi untuk membangkitkan pulsa gate yang mengatur aliran pulsa masukan ke pencacah. Ketelitian dari pengukuran ditentukan oleh ketelitian dari basis waktu ini. Basis waktu ini terdiri dari sebuah osilator kristal dan sejumlah pencacah yang difungsikan sebagai pembagi frekuensi, sama dengan yang digunakan pada jam digital. Logika pengatur berfungsi untuk membangkitkan pulsa-pulsa yang pengatur, seperti pulsa gate, latch enable dan reset. Pengkondisi sinyal berfungsi untuk mengubah sinyal masukan yang umumnya berbentuk sinusoida menjadi gelombang persegi (square wave). Selain itu bagian ini juga berfungsi untuk menghilangkan kerut dan harmonisa jika ada.

Pencacah yang digunakan disini umumnya pencacah BCD agar hasil cacahan dapat langsung ditampilkan pada peraga 7-segmen. Jumlah pencacah disesuaikan dengan kebutuhan dan resolusi yang diinginkan. Yang dimaksud dengan resolusi ialah unit terkecil dari hasil yang dapat dibaca. Sebagai contoh, pada pengukuran frekuensi 1 MHz maka resolusi pengukuran adalah 1

Page 117: Perancangan Teknik Digital

Hz jika waktu pengukuran atau TG dibuat 1 detik. Jika TG dibuat 1 milidetik maka resolusi menjadi 1 kHz. Hal ini dapat dijelaskan sebagai berikut.

Pada pengukuran frekuensi 1.234.567 MHz dengan TG = 1 detik maka jumlah pulsa yang diterima pencacah adalah :

P = f x TG

= 1.234.567 x 1

= 1.234.567

Unit terkecil yang dapat dibaca dari pengukuran ini ialah LSD (least significant digit) yang menampilkan nilai 7. Dengan demikian maka resolusi pengukuran adalah 1 Hz karena bobot dari LSD ini adalah 1 Hz.

Pada pengukuran frekuensi yang sama dengan TG = 1 milidetik maka :

P = 1.234.567 x 0,001

= 1.234

LSD dari pengukuran ini menampilkan nilai 4 sementara bobot dari digit ini adalah 1 kHz. Maka resolusi pengukuran ini adalah 1 kHz.

Frekuensi maksimum yang dapat diukur ditentukan oleh kemampuan pencacah satuan karena pencacah ini menerima pulsa dengan frekuensi yang sesuai dengan frekuensi yang diukur. Jika menggunakan IC jenis TTL standard maka frekuensi tertinggi yang dapat diterima oleh IC ini hanya sekitar 20 MHz. Tetapi jika menggunakan IC TTL jenis LS maka frekuensi tertinggi yang dapat diterima adalah sekitar 50 MHz. Untuk mengukur frekuensi yang lebih tinggi dapat digunakan prescaler yang umumnya merupakan IC jenis ECL. Prescaler ini umumnya membagi sepuluh frekuensi masukan sehingga jika menggunakan IC TTL jenis LS, alat ukur frekuensi ini akan mampu untuk mengukur frekuensi sampai 500 MHz, jika prescaler-nya mampu.

Jumlah digit dari alat ukur akan menentukan kisar frekuensi yang dapat diukur. Sebagai contoh, jika pencacah terdiri dari 5-digit maka nilai maksimum yang dapat diterima adalah 99.999. Pada pulsa ke-100.000 pencacah akan menapilkan 00.000. Jika TG = 1 detik maka frekuensi tertinggi yang dapat diukur hanya 99.999 Hz dengan resolusi 1 Hz. Tetapi jika TG = 0,001 detik maka frekuensi tertinggi yang dapat diukur adalah 99.999 kHz atau 99,999 MHz dengan resolusi 1 kHz. Ini berarti bahwa pada pengukuran ini kita tidak dapat membaca tiga digit terkecil. Jika TG = 0,0001 detik maka frekuensi tertinggi yang dapat diukur adalah 999,99 MHz dengan resolusi 10 kHz. Pada pengukuran ini kita kehilangan empat digit terkecil. Agar dapat membaca digit terkecil maka pengukuran harus dilakukan dua kali. Pertama untuk mendapatkan lima digit terbesar dan yang kedua untuk mendapatkan lima digit terkecil. Oleh karena itu dengan menggunakan 5-digit kita dapat mengukur frekuensi sampai 999,99 MHz dengan resolusi 1 Hz jika melakukan dua kali pengukuran.

Pada contoh perancangan ini kita akan merancang alat ukur frekuensi dengan 5-digit. Rangkaian pencacah, penyangga, dekoder dan peraga diperlihatkan pada Gambar 10.17.

Page 118: Perancangan Teknik Digital

SN7447

a b c d e f g

ABCD

V CC

SN7490

SN7475 LE

Q AQ BQ CQ D

D AD BD CD D

A

Q AQ BQ CQ D

RST

SN7447

a b c d e f g

ABCD

V CC

SN7490

SN7475 LE

Q AQ BQ CQ D

D AD BD CD D

A

Q AQ BQ CQ D

RST

SN7447

a b c d e f g

ABCD

VCC

SN7490

SN7475 LE

Q AQ BQ CQ D

D AD BD CD D

A

Q AQ BQ CQ D

RST

SN7447

a b c d e f g

ABCD

VCC

SN7490

SN7475 LE

Q AQ BQ CQ D

D AD BD CD D

A

Q AQ BQ CQ D

RST

SN7447

a b c d e f g

ABCD

V CC

SN7490

SN7475 LE

Q AQ BQ CQ D

D AD BD CD D

A

Q AQ BQ CQ D

RST

LE

RST

GATE

DariPengkondisi

Sinyal

N1

Dekoder

Penyangga

Peraga

Pencacah

Gambar 10.17 Rangkaian pencacah, penyangga, dekoder dan peraga

Rangkaian ini membutuhkan pulsa GATE, RST (reset) dan LE (latch enable) untuk mengatur kerjanya. Pulsa-pulsa ini akan dibangkitkan oleh rangkaian logika pengatur.

10.2.2. Rangkaian Basis Waktu

Rangkaian basis waktu menggunakan osilator kristal 2 MHz. Untuk mendapatkan sinyal 2 Hz digunakan enam buah pencacah BCD yang masing-masing berfungsi sebagai pembagi-10. Rangkaian basis waktu ini diperlihatkan pada Gambar 10.18.

A

Q AB

Q D A

Q AB

Q D

2 MHz 200kHz

IC4 IC5

20kHzA

Q AB

Q D A

Q AB

Q D A

Q AB

Q D A

Q AB

Q D

2kHz 200Hz 20Hz 2 Hz

IC6 IC7 IC8 IC9

IC1a IC1b

R1 R2

C1X1

2 MHz

2 kHz

Hz

kHz

S1

Gambar 10.18 Rangkaian basis waktu untuk alat ukur frekuensi

Rangkaian ini mirip dengan yang digunakan pada jam digital yang telah dibahas pada bagian sebelumnya. Pada rangkaian basis waktu ini frekuensi yang dikeluarkan adalah 2 kHz dan 2 Hz. Frekuensi-frekuensi ini dipilih oleh sakelar S1 dan nanti akan digunakan oleh rangkaian logika pengatur.

Seperti telah dinyatakan sebbelumnya, rangkaian logika pengatur berfungsi untuk membangkitkan pulsa-pulsa GATE, RST dan LE. Pulsa GATE berfungsi untuk meng-enable gerbang N1 pada pencacah agar pulsa dari pengkondisi sinyal masuk ke pencacah. Aliran pulsa ke pencacah ini berlangsung selama pulsa GATE tinggi. Setelah pulsa GATE turun maka isi pencacah ditransfer ke penyangga dengan memberikan pulsa LE. Pada sisi turun dari pulsa LE, data masukan penyangga akan disangga dan data masukan dapat dihilangkan tanpa mempengaruhi isi penyangga. Pulsa RST akan me-reset pencacah sehingga isi pencacah menjadi nol dan pencacah siap untuk mencacah kembali. Urutan pulsa-pulsa ini dapat dilihat pada diagram pewaktuan yang diperlihatkan pada Gambar 10.19.

Page 119: Perancangan Teknik Digital

GATE

LE

RST

1 detik

0,5

0,5

Gambar 10.19 Diagram pewaktuan alat ukur frekuensi

10.2.3. Rangkaian Logika Pengatur

Untuk membangkitkan pulsa-pulsa RST, LE dan GATE digunakan rangkaian logika pengatur seperti yang diperlihatkan pada Gambar 10.20.

GATE

IC2b

IC2c

LE

RST

IC3a

Q A

__Q A

D

CP

Q B

__Q B

D

CP

IC3b

DARIS1

Gambar 10.20 Rangkaian logika pengatur

Rangkaian ini terdiri dari dua buah flip-flop D dan dua buah gerbang AND. IC3a dan IC3b dirangkai menjadi flip-flop T dengan menghubungkan masukan D ke keluaran komplemennya. Dengan demikian maka kedua flip-flop ini akan berfungsi sebagai pembagi-2. Sinyal masukan dari sakelar S1 akan dibagi dua oleh IC3a dan selanjutnya dibagi dua lagi oleh IC3b. Jika kedudukan sakelar S1 memilih 2 Hz maka keluaran dari IC3b adalah 0,5 Hz duty cycle sebesar 50%. Diagram pewaktuan kedua menjadi seperti yang diperlihatkan pada Gambar 10.21.

Q A

__Q A

Q B

__Q B

2 Hz

Page 120: Perancangan Teknik Digital

Gambar 10.21 Diagram pewaktuan keluaran flip-flop A dan flip-flop B

Pulsa LE dapat diperoleh dengan meng-AND-kan BQ dengan AQ sedangkan pulsa RST dapat

diperoleh dengan meng-AND-kan BQ dengan QA. Pulsa GATE dapat diperoleh dari keluaran QB.

Jika kedudukan sakelar S1 memilih 2 Hz maka lebar pulsa GATE adalah 1 detik sehingga resolusi pengukuran adalah 1 Hz. Frekuensi tertinggi yang dapat diukur adalah 99.999Hz. Interval waktu antara suatu pengukuran dengan pengukuran berikutnya adalah 2 detik dan tampilan ke peraga juga akan diperbaharui setiap 2 detik, yaitu pada setiap kenaikan pulsa LE. Dengan waktu tampilan ini pemakai mempunyai waktu yang cukup untuk mencatat hasil pengukuran.

Jika kedudukan sakelar S1 memilih 2 kHz maka lebar pulsa GATE adalah 1 milidetik sehingga resolusi pengukuran menjadi 1 kHz. Frekuensi tertinggi yang dapat diukur adalah 99.999kHz, jika pencacah mampu menerima frekuensi setinggi ini. Kekurangan pada daerah pengukuran ini ialah waktu tampilan yang terlalu singkat, yaitu hanya 1 milidetik. Jika frekuensi yang diukur berubah-ubah dengan cepat maka nilai tampilan akan berubah sebelum sempat diamati. Tetapi jika frekuensi yang diukur stabil maka hal ini tidak menjadi masalah.

10.2.4. Rangkaian Pengkondisi Sinyal

Rangkaian pengkondisi sinyal umumnya terdiri dari penguat tegangan dengan impedansi masukan yang tinggi dan pembentuk gelombang (wave shaper) yangberfungsi untuk mengubah gelombang sinusoida menjadi gelombang persegi agar sesuai dengan piranti logika. Contoh rangkaian pengkondisi sinyal ini diperlihatkan pada Gambar 10.22.

R3

R4

D1

D2

Q2

R7R5

R6 R8

C2

Q3

Q4

R12R11

R13

C4

R9

R10

R1

IC1c

+5V

C1

C3R2

Q1INPUT

OUTPUT

Gambar 10.22 Rangkaian pengkondisi sinyal

Transistor Q1 adalah JFET jenis N. Transistor ini dioperasikan sebagai pengikut source (source follower) guna mendapatkan impedansi masukan yang besar. Tahanan R1 bersama-sama dengan dioda D1 dan D2 berfungsi sebagai pembatas tegangan (limiter) agar tegangan masukan ke Q1 tidak terlalu besar. Tranisistor Q2 berfungsi sebagai penguat tegangan. Keluaran Q2 diubah menjadi gelombang persegi (square wave) oleh pasangan transistor Q3 dan Q4 yang dirangkai sebagai Schmitt Trigger. Dengan demikian diperoleh gelombang persegi yang sesuai dengan piranti logika. Untuk memastikan hal ini maka tegangan keluaran dari Schmitt Trigger disangga oleh pembalik IC1c. Rangkaian lengkap dari alat ukur frekuensi ini dapat dilihat pada Gambar 10.23.

Page 121: Perancangan Teknik Digital

SN7447

a b c d e f g

ABCD

V CC

SN7490

SN7475 LE

Q AQ BQ CQ D

D AD BD CD D

A

Q AQ BQ CQ D

RST

SN7447

a b c d e f g

ABCD

V CC

SN7490

SN7475 LE

Q AQ BQ CQ D

D AD BD CD D

A

Q AQ BQ CQ D

RST

SN7447

a b c d e f g

ABCD

V CC

SN7490

SN7475 LE

Q AQ BQ CQ D

D AD BD CD D

A

Q AQ BQ CQ D

RST

SN7447

a b c d e f g

ABCD

V CC

SN7490

SN7475 LE

Q AQ BQ CQ D

D AD BD CD D

A

Q AQ BQ CQ D

RST

SN7447

a b c d e f g

ABCD

V CC

SN7490

SN7475 LE

Q AQ BQ CQ D

D AD BD CD D

A

Q AQ BQ CQ D

RST

LE

RST

GATE

Dekoder

Penyangga

Peraga

Pencacah

R3

R4

D1

D2

Q2

R7R5

R6 R8

C2

Q3

Q4

R12R11

R13

C4

R9

R10

R1

IC1c

+5V

C1

C3R2

Q1INPUT

A

Q AB

Q D A

Q AB

Q D

2 MHz 200kHz

IC4 IC5

20kHzA

Q AB

Q D A

Q AB

Q D A

Q AB

Q D A

Q AB

Q D

2kHz 200Hz 20Hz 2 Hz

IC6 IC7 IC8 IC9

IC1a IC1b

R1 R2

C1X1

2 MHz

2 kHz

GATE

IC2b

IC2c

LE

RST

IC3a

Q A

__Q A

D

CP

Q B

__Q B

D

CP

IC3b

Hz

kHz

S1

IC2a

Gambar 10.23 Rangkaian lengkap dari alat ukur frekuensi

Page 122: Perancangan Teknik Digital

DAFTAR PUSTAKA

1. Bartee, T. , 1985, Digital Computer Fundamentals, McGraw-Hill.

2. Bouwens A. J. ,1986, Digital Instrumentation, McGraw-Hill. 3. Fairchild Semiconductor, 1985, TTL Data Book. 4. Fairchild Semiconductor, 1985, CMOS Data Book. 5. Millman J., Halkias, 1979, MICROELECTRONICS, Digital and Analog Circuits and

Systems, McGraw-Hill. 6. Pernantin Tarigan, 2001, Rangkaian Logika, edisi kedua, USU Press, Medan. 7. Texas Instruments, 1985, Designing With TTL Integrated Circuits, second edition,

McGraw-Hill, New York.

Page 123: Perancangan Teknik Digital

KUMPULAN SOAL-SOAL

1.      Rancanglah suatu rangkaian untuk interlock dari dua buah CB (circuit breaker). CB-1 bisa menutup jika CB-2 terbuka dan demikian pula sebaliknya.

2. Rancanglah suatu rangkaian untuk mengatur lampu disuatu lorong yang panjang. Lampu diatur oleh dua buah sakelar. Lampu dapat dinyalakan dengan mengubah kedudukan sakelar pada ujung pertama lorong dan dapat dipadamkan dengan mengubah kedudukan sakelar pada ujung kedua lorong. Demikian pula sebaliknya.

3. Rancanglah suatu rangkaian untuk quiz cepat-tepat dengan dua peserta. Rangkaian mempunyai dua sakelar tekan, dua lampu dan satu buzzer. Lampu A akan menyala jika sakelar A ditekan. Lampu B akan menyala jika sakelar B ditekan. Buzzer akan berbunyi jika salah satu lampu menyala. Lampu A hanya bisa menyala jika lampu B tidak menyala dan demikian pula sebaliknya.

4. Rancanglah suatu rangkaian untuk mengatur suatu pompa air. Pompa akan start jika ketinggian air dibawah nilai minimum dan akan stop jika mencapai nilai maksimum.

5. Rancanglah suatu rangkaian untuk menstart motor listrik dengan hubungan star-delta. Motor di-start dengan hubungan star. Setelah berjalan selama 5 detik maka hubungan motor diubah menjadi delta. Perubahan hubungan dilakukan melalui magnetic contactor. Rangkaian yang dirancang hanya berfungsi unutk mengatur contactor.

6. Rancanglah suatu rangkaian stopwatch yang akan mulai mencacah jika suatu kontak tertutup dan akan berhenti mencacah jika kontak tersebut terbuka. Resolusi waktu cacahan adalah 1/10 detik.

7.      Rancanglah suatu rangkaian pengaman sepeda motor yang akan mengaktipkan kunci kontak jika klakson ditekan sebanyak tiga kali berturut-turut.

8. Rancanglah suatu rangkaian untuk menghitung tempat parkir mobil yang tersedia di suatu lapangan parkir. Jumlah tempat yang tersedia ditampilkan pada suatu peraga 7-segmen. Nilai yang ditampilkan akan berkurang satu jika ada satu mobil masuk melalui pintu gerbang dan akan bertambah satu jika ada mobil keluar dari pintu gerbang.

9.      Rancanglah suatu rangkaian pengatur lampu lalulintas (traffic light) untuk suatu simpang empat. Masing-masing jalan adalah dua arah dan kendaraan dari arah berlawanan

Page 124: Perancangan Teknik Digital

dapat berjalan pada waktu yang sama. Perioda lampu merah adalah 30 detik, lampu kuning 5 detik dan lampu hijau 25 detik.

10. Rancanglah suatu rangkaian untuk mengatur lampu pada suatu kantor, dimana lampu hanya akan menyala dari jam 7.00 hingga jam 18.00 pada hari kerja (Senin sampai Sabtu).