Download - Modul Dewa89s Ps2 Adc

Transcript
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    1/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 1

    MODUL 2

    Analog to Digital Converter

    1. Pendahuluan

    DSP mengolah sinyal analog dalam domain digital. Kita hidup di dunia analog. Sinyal-sinyal

    di dunia analog misalnya bunyi atau suara, suhu, cahaya, tegangan listrik dan arus listrik.

    Bagaimana cara mengubah sinyal analog tersebut menjadi sinyal digital agar dapat diproses

    oleh DSP dan sebaliknya?

    Gambar 1. Gambaran umum proses pengolahan sinyal digital.

    Terima kasih kepada para penemu ADC (Analog to Digital Converter) dan DAC (Digital to

    Analog Converter). Praktikum kali ini akan membahas tentang ADC. ADC bekerja dengan

    cara melakukan pencuplikan sinyal analog pada kecepatan tertentu untuk kemudian diolah

    menjadi sinyal digital. Hubungan antara kecepatan sampling (juga dapat disebut sebagai

    frekuensi sampling) dengan frekuensi maksimum sinyal input yang masuk akan anda pelajari

    pada praktikum ini. Sehingga anda akan segera dapat menentukan bahwa tidak mungkinuntuk membaca sinyal pada frekuensi 1MHz (misalnya) dengan ADC yang bekerja pada

    frekuensi sampling 48KHz.

    2. Tujuan

    Setelah menyelesaikan praktikum ini, yang anda peroleh adalah :

    Dapat menjelaskan pengaruh frekuensi sampling pada saat melakukan konversi sinyalanalog ke digital.

    Dapat menggunakan ADC yang terdapat pada board DSK.

    3. Gambaran Disain

    Board DSK yang anda gunakan dilengkapi dengan IC Codec (Coder/Decoder). Codec adalah

    nama lain dari pasangan ADC dan DAC. Pada praktikum ini anda akan mencoba kelebihan

    yang dimiliki oleh IC ini pada bagian ADCnya. Anda akan mencoba mengatur penguatannya,

    mengatur kecepatan pencuplikannya, menampilkan nilai ADC dalam format desimal ke layar

    monitor, dan menampilkan data ADC dalam bentuk grafik dalam domain waktu dan domain

    frekuensi yang merupakan fasilitas yang dimiliki oleh Code Composer Studio. Sinyal input

    yang dimasukkan ke ADC diperoleh dari generator sinyal.

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    2/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 2

    4. Teori Singkat

    Sebuah sinyal mengandung informasi tentang amplitudo, frekuensi dan sudut fasa.

    Pengolahan sinyal biasanya digunakan untuk mendapatkan informasi dari sebuah sinyal.

    Mendapatkan informasi dari sebuah sinyal menggunakan perangkat analog adalah rumit dan

    kurang akurat. Karena itu kita gunakan metode pengolahan yang lebih sederhana, fleksibel

    dan akurat, yaitu pengolahan sinyal digital (DSP).

    Untuk pengolah sinyal analog dengan perangkat digital, yang pertama dilakukan adalah

    mengubah sinyal analog menjadi sederetan angka yang mempunyai keakuratan tertentu.

    Langkah ini disebut konversi analog ke digital, menggunakan alat yang disebut ADC (Analog

    to Digital Converter). Supaya sinyal digital ini cukup akurat untuk dikembalikan lagi menjadi

    sinyal analog maka perlu diperhatikan masalah jumlah sampling yang dipilih oleh ADC dan

    besarnya angka yang dipakai untuk mewakili tiap sampling. Teori sampling membantu kita

    untuk menentukan jumlah sampling yang diperlukan untuk menghasilkan kembali sinyal

    analog berdasarkan frekuensi maksimum pada sinyal analog yang diolah.

    Blok diagram dasar dari sebuah ADC ditunjukkan oleh Gambar 2.

    Gambar 2. Proses konversi sinyal analog menjadi sinyal digital.

    Sampling

    Proses pencuplikan secara sederhana ditunjukkan oleh Gambar 3. Apabila saklar ditutup

    sebentar kemudian dibuka kembali maka kapasitor C akan terisi muatan yang sama dengan

    besar sinyal x(t) saat saklar ditutup. Buffer ditambahkan agar muatan kapasitor tetap terjaga

    saat digunakan oleh proses berikutnya. Perhatikan saklar, apabila saklar ditutup dan dibuka

    dengan kecepatan tetap sebesar fs maka akan didapatkan titik-titik yang berjarak sama seperti

    yang ditunjukkan oleh Gambar 4.

    Gambar 3. Penyederhanaan bagian sample/hold.

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    3/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 3

    Gambar 4. Proses pencuplikan. (a) Sinyal analog. (b) Hasil sinyal yang dicuplik.

    Kuantisasi

    Sinyal digital merupakan sebuah deretan angka (sampling) yang diwakili oleh beberapa digit

    dengan jumlah tertentu (menentukan keakuratan).

    Proses melakukan konversi sinyal yang telah dicuplik menjadi sinyal digital yang diwakilioleh sebuah nilai dengan jumlah digit tertentu disebut kuantisasi.

    Gambar 5. Ilustrasi proses kuantisasi.

    Gambar 5 adalah contoh proses kuantisasi yang menggunakan empat level. Anda dapat

    melihat pada level 4 terdapat empat buah sinyal yang menempati level yang sama, artinya

    keempat sinyal tersebut dikelompokkan menjadi level yang sama walaupun tingginya

    berbeda. Demikian pula pada level 1. Selisih antara nilai kuantisasi dengan sinyal sebenarnya

    disebut kesalahan kuantisasi (error quantization). Maka

    eq(n) = xq(n) x(n)

    Jarak antara level kuantisasi disebut resolusi. Kuantisasi merupakan proses yang tidak dapat

    dibalik sehingga menyebabkan distorsi sinyal yang tidak dapat diperbaiki.

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    4/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 4

    Pada Gambar 5, untuk mengurangi kesalahan kuantisasi, dengan kata lain agar ADC

    mempunyai ketelitian yang tinggi maka resolusi harus ditingkatkan. Dengan kata lain

    memperbanyak level kuantisasi.

    Jadi harus berapa level? 8? 10? 12? 24? Bila anda mendisain sebuah ADC anda juga harusmempertimbangkan faktor harga dan aplikasi yang akan menggunakan.

    Pengkode

    Proses pengkodean dalam ADC menetapkan bilangan biner tertentu pada tiap level kuantisasi.

    Bila kita mempunyai level kuantisasi sejumlah L, maka kita membutuhkan bilangan biner

    paling tidak sejumlah L. Anda membutuhkan digit yang diperlukan sebanyak b-bit sehingga

    2bL.

    Untuk Gambar 5, terdapat empat level kuantisasi sehingga dibutuhkan 2-bit saja. Jadi kode

    biner untuk Gambar 5 adalah 00, 01, 10, 11.

    Gambar 6. Ilustrasi proses pengkodean.

    Sinyal pada Gambar 6 setelah keluar dari ADC akan mempunyai kode biner

    10,11,11,11,11,10,01,01,00,00,00,01,01.

    Teori Sampling

    Kecepatan pengambilan sampel (frekuensi sampling) dari sinyal analog yang akan dikonversi

    haruslah memenuhi kriteria Nyquist yaitu:

    Fs > 2 Finmax

    dimana frekuensi sampling (Fs) minimum adalah lebih dari 2 kali frekuensi sinyal analog

    yang akan dikonversi (Finmax). Misalnya bila sinyal analog yang akan dikonversi mempunyai

    frekuensi sebesar 50Hz maka frekuensi sampling minimum dari ADC adalah diatas 100Hz.

    Atau bila dibalik, bila frekuensi sampling ADC sebesar 100Hz maka sinyal analog yang akan

    dikonversi harus mempunyai frekuensi maksimum lebih kecil dari 50Hz. Apabila kriteria

    Nyquist tidak dipenuhi maka akan timbul efek aliasing yang diilustrasikan oleh Gambar 7.

    Disebut aliasing karena frekuensi tertentu terlihat sebagai frekuensi yang lain (menjadi alias

    dari frekuensi lain).

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    5/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 5

    Gambar 7. Ilustrasi terjadinya aliasing.

    Codec

    Codec merupakan kepanjangan dari coder-decoder. Coder bertugas untuk mengubah sinyal

    analog menjadi sinyal digital dan dekoder bertugas untuk mengubah sinyal digital menjadi

    sinyal analog kembali. Codec secara sederhana merupakan sebuah ADC-DAC yang dikemas

    dalam sebuah chip.

    Pada board DSK, pekerjaan codec dilakukan oleh IC TLC320AD50. Gambaran audio codec

    pada DSK secara sederhana ditunjukkan oleh Gambar 8. Sinyal masukan audio maksimum

    yang diijinkan sebesar 1000 mVpp (350 mVRMS). Pada board DSK terdapat pre-amplifier

    dengan penguatan 10 kali sebelum memasuki IC codec. IC codec ini mempunyai PGA

    (Programmable Gain Amplifier) yang dapat diatur secara software. Sinyal masukan audio ke

    Codec dapat dikuatkan dari +0dB sampai +12dB dengan step 6dB. Sedangkan sinyal keluaran

    audio dari Codec dapat dilemahkan dari +0dB sampai -12dB dengan step 6dB.

    Gambar 8. Ilustrasi bagian codec pada DSK yang disederhanakan.

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    6/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 6

    Berikut sedikit keterangan tentang bagian-bagian didalam IC codec TLC320AD50. ADC

    didalam codec menggunakan modulator sigma-delta dengan 64x oversampling. Dengan

    teknik oversampling ini ADC mempunyai sifat resolusi tinggi dan rendah noise. Decimation

    filter menurunkan kecepatan data digital dari ADC pada kecepatan sampling dengan cara

    diturunkan dengan perbandingan 1:64. Data output dari decimation filter mempunyai lebardata 16-bit dalam format 2s complement yang dikeluarkan pada kecepatan sampling.

    Frekuensi cut-off dari filter decimation adalah 0.439 x fsampling dan terskala secara linier

    terhadap frekuensi sampling yang digunakan. DAC yang digunakan didalam codec

    menggunakan modulator sigma-delta dengan 256x oversampling agar DAC mempunyai unjuk

    kerja dengan resolusi tinggi dan rendah noise.Interpolation filter mencuplik ulang data digital

    yang diterima pada kecepatan 256 kali dari kecepatan data yang diterima. Data berkecepatan

    tinggi ini akan digunakan oleh DAC. Frekuensi cut-off dari filter interpolasi adalah 0.439 x

    fsampling dan terskala secara linier terhadap frekuensi sampling yang digunakan. Cukup

    membuat anda pusing? Lebih detil tentang kata-kata tercetak miring diatas dapat anda pelajari

    dari literatur lain.

    Gambar 9. Rangkaian pre-amplifier dan pengkondisi sinyal.

    Gambar 10. Rangkaian IC codec.

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    7/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 7

    Referensi: TMS320C5402 DSK Help. Lembar data TLC320AD50C/I, slas131e, Texas Instruments, 2000

    5. Peralatan

    1 set PC yang dilengkapi dengan software Code Composer Studio.

    1 set DSK TMS320C5402.

    1 set Function Generator.

    1 set Oscilloscope.

    6. Prosedur Praktikum

    :: Penataan peralatan ::

    Gambar 11. Penataan peralatan praktikum.

    1. Menyiapkan peralatan :a. PC dalam keadaan mati.

    b. Hubungkan DSK ke PC menggunakan kabel paralel yang tersedia.c. Hubungkan output adaptor ke input power DSK.

    d. Hubungkan kabel power adaptor, nyalakan adaptor.e. Nyalakan PC.f. Jalankan aplikasi Code Composer Studio dan pastikan dapat terhubung dengan board

    DSK.

    :: Menyalin dan membuka Project ::

    2. Buatlah folder baru pada direktori D:\prak_dsp\kelas\nama. (Catatan: kelas dan namadisesuaikan.) Perhatikan penulisan folder yang Anda buat. Kemudian salinlah folder ADC

    pada C:\ti\examples\dsk5402\dsp\ADC kedalam direktori D:\prak_dsp\kelas\nama. Hal

    Anda diharapkan mengikuti langkah-langkah prosedur praktikum danapabila ada kesulitan harap bertanya kepada dosen/asisten praktikum.

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    8/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 8

    ini dimaksudkan untuk mempermudah mengembalikan isi project seperti dalam keadaan

    semula apabila terjadi kesalahan.

    3. Pada Code Composer Studio, dengan menggunakan Project Open, bukalah file project

    adc.pjt pada direkori D:\prak_dsp\kelas\nama\ADC. Apabila ada file library padaproject tersebut yang tidak ditemukan maka carilah library tersebut pada direktori c:\ti

    yang sesuai. Hal ini terjadi karena lokasi project berpindah tempat. File library yang

    digunakan ada tiga yaitu:

    a. rts.lib pada direktori c:\ti\c5400\cgtools\libb. dsk5402.lib pada direktori c:\ti\c5400\dsk5402\libc. drv5402.lib pada direktori c:\ti\c5400\dsk5402\lib

    Gambar 12. File library tidak ditemukan.

    :: Membangun dan menjalankan Project ::

    4. Pilih Project Rebuild All, atau menekan ikon (Rebuild All) pada toolbar.Secara default, file .out dibuat pada direktori bernama debug yang terletak dibawah folder

    project yang anda buat.

    Gambar 13. Letak ikon Rebuild All pada toolbar.

    5. Pilih File Load Program. Pilih program yang baru saja dibangun yaitu adc.out, laluklik tombol Open. (Seharusnya terletak pada folder ...\adc\debug kecuali anda membuat

    direktori project ditempat lain.) Code Composer Studio akan memasukkan program

    kedalam DSP target dan membuka jendela Disassembly yang menunjukkan instruksidalam assembly yang membentuk program.

    6. Pilih Debug Go Main untuk memulai eksekusi dari subrutin utama. Eksekusi akanberhenti pada subrutin utama dan ditandai dengan tanda .

    7. Siapkan function generator untuk menghasilkan sinyal sinusoida dengan frekuensi 5 Hzdan amplitudo 100 mVpp. Anda dapat memastikan nilai amplitudo peak-to-peak yang

    dihasilkan oleh function generator dengan cara mengukur amplitudonya menggunakan

    oscilloscope.

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    9/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 9

    Hubungkan output dari function generator ke jack audio input dari board DSK, yang

    berada disebelah konektor RJ-11.

    8. Pilih Debug Run atau klik ikon (Run) pada toolbar. Perhatikan nilai ADC yangditampilkan seperti yang ditunjukkan oleh Gambar 14.

    Gambar 14. Nilai ADC yang ditampilkan.

    Gambar 15. Inti program di dalam file adc1.c.

    Agar visualisasi nilai ADC lebih baik lagi, kita coba percobaan berikutnya.

    9. Pilih Debug Haltuntuk menghentikan jalannya program.

    :: Mengganti file Source didalam Project ::

    10.Pada jendela Project View, klik kanan file adc1.c, kemudian pilih Remove from project,seperti ditunjukkan oleh Gambar 16 bagian kiri.

    Baca manual peralatan dengan seksama sebelum menghubungkankabel-kabel ke board DSK. Pengkabelan harus dicek ulang minimal

    dengan dua orang yang berbeda.

    / * Pol l i ng and di gi t al l oopback */

    whi l e (1){

    / * Wai t f or sampl e f r om handset */whi l e ( ! MCBSP_RRDY( HANDSET_CODEC) ) {};

    / * Read sampl e f r om handset codec */data = *( vol at i l e u16*) DRR1_ADDR(HANDSET_CODEC) ;

    / * Pr i nt t he dat a t o t he screen */pr i nt f ( "Dat a ADC=%d\ n" , dat a) ;

    }

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    10/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 10

    11.Kemudian masih pada jendela Project View, klik kanan adc.pjt, kemudian pilih AddFiles , seperti ditunjukkan oleh Gambar 16 bagian kanan. Browse kedalam folder

    D:\prak_dsp\kelas\nama\ADC, klik file adc2.clalu klik tombol Open.

    Gambar 16. Membuang file dari Project (kiri) dan menambahkan file ke Project (kanan).

    :: Membangun dan menjalankan Project ::

    12.Kerjakan langkah-langkah berikut:Pilih Project Rebuild All.

    Pilih File Load Program.Pada direktori debug, pilih adc.out, lalu klik tombol Open.

    Pilih Debug Go Main.

    13.Pada file adc2.c, letakkan fungsi breakpoint seperti yang ditunjukkan oleh Gambar 17.

    :: Menyiapkan fasilitas grafik ::

    14.Pilih View Graph Time/Frequency. Pada dialog Graph Property, ubahlah propertiGraph Title, Start Address, Acquisition-Buffer Size, Display Data Size, DSP Data Type,

    Sampling Rate, Autoscale, dan Maximum Y-value seperti ditunjukkan oleh Gambar 18

    bagian kiri. Kemudian klik tombol OK.

    15.Pilih kembali ViewGraphTime/Frequency.Kali ini, ubah property Display Type, Graph Title, FFT Framesize, FFT Windowing

    Function. Property yang lain tidak perlu diubah seperti ditunjukkan oleh Gambar 18

    bagian kanan. Kemudian klik tombol OK.

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    11/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 11

    Gambar 17. Menambahkan fungsi breakpoint.

    Gambar 18. Manampilkan data dalam bentuk grafik: domain waktu (kiri) dan domain frekuensi (kanan).

    16.Atur posisi jendela kedua grafik agar dapat dilihat dengan jelas. Anda dapat me-minimizejendela adc2.c dan jendela Disassembly.

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    12/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 12

    17.Siapkan function generator untuk menghasilkan sinyal sinusoida dengan frekuensi 100 Hzdan amplitudo 100 mVpp. Anda dapat memastikan nilai amplitudo peak-to-peak yang

    dihasilkan oleh function generator dengan cara mengukur amplitudonya menggunakan

    oscilloscope.

    Hubungkan output dari function generator ke jack audio input dari board DSK, yang

    berada disebelah konektor RJ-11.

    18.Pilih Debug Animate atau klik ikon (Animate) pada toolbar. Perhatikan jendelagrafik.

    Gambar 19. Nilai ADC ditampilkan dalam bentuk grafik.

    19.Pilih Debug Haltuntuk menghentikan jalannya program.

    20.Kerjakan tugas.

    7. Tugas

    1. Anda akan mencoba mengubah-ubah penguatan codec dengan cara mengatur bagianProgrammable Gain Amplifier secara software (lihat kembali gambar 8). Ikuti langkah-

    langkah berikut:

    a. Buka file adc2.c.

    Baca manual peralatan dengan seksama sebelum menghubungkankabel-kabel ke board DSK. Pengkabelan harus dicek ulang minimal

    dengan dua orang yang berbeda.

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    13/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 13

    Gambar 20. Diagram alir program di dalam file adc2.c.

    Pada bagian inisialisasi codec, anda dapat menentukan nilai penguatan (gain) dari

    codec. Cuplikan bagian inisialisasi codec sebagai berikut :

    / * Open Handset Codec */hHandset = codec_ open( HANDSET_CODEC) ;/ * Set codec par ameters */codec_dac_mode(hHandset , CODEC_DAC_15BI T) ;codec_adc_mode(hHandset , CODEC_ADC_15BI T) ;codec_ai n_gai n( hHandset , CODEC_AIN_0dB) ; / * ubah di si ni */codec_aout _gai n(hHandset , CODEC_AOUT_MI NUS_0dB) ;codec_sampl e_r ate(hHandset , SR_2000) ;

    Penguatan pada input analog dapat diubah dengan memberikan nilai pada

    parameter kedua pada sub rutin codec_ai n_gai n.

    b. Atur kembali function generator untuk menghasilkan sinyal sinusoida denganfrekuensi 100 Hz dan amplitudo 100 mVpp.

    c. Lakukan pengukuran seperti pada Tabel 1.

    Gambar 21. Posisi pengukuran untuk Tabel 1.

    Start

    Inisialisasi

    Dataready?

    Tidak

    Ya Bufferpenuh?

    Ya

    Tidak

    Simpan datadalam buffer,

    Pointer++

    Update grafik,Pointer=0

    Fasilitasbreakpoint

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    14/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 14

    Setiap selesai mengedit program pada baris instruksi codec_ain_gain(hHandset,

    CODEC_AIN_0dB); jangan lupa untuk melakukan Rebuild All, Load Program,

    kemudian Run.

    Tabel 1. Pengamatan penguatan ADC terhadap sinyal input.

    Pengukuran Perhitungan

    V1 (mVpp) Data ADC* V2 (mVpp) V3 (mVpp) Data ADC

    Bentuk DataADC saturasi?

    (Y/T)

    % Error DataADC

    Untuk instruksi codec_ai n_gai n( hHandset , CODEC_AI N_0dB) ;

    100

    200

    Untuk instruksi codec_ai n_gai n( hHandset , CODEC_AI N_6dB) ;

    100

    200

    *)Data ADC pengukuran adalah nilai pada jendela grafik Domain Waktu, ambil puncak positif saja.

    Catatan:

    Data ADC secara perhitungan untuk Tabel 1 didapat dengan persamaan berikut.

    Data ADC = 32767*1600

    3V

    ADC bekerja dengan cara membandingkan tegangan input dengan tegangan referensi.Nilai 1600 sebagai nilai pembagi diperoleh dari setengah tegangan referensi karena nilaiyang diamati hanya bagian positifnya saja.

    2. Berikutnya anda akan mencoba mengubah-ubah nilai dari frekuensi sampling codec. Ikutilangkah-langkah berikut:

    a. Buka file adc2.c.

    Pada bagian inisialisasi codec, anda dapat mengubah frekuensi sampling ADC.

    Cuplikan bagian inisialisasi codec sebagai berikut :

    / * Open Handset Codec */hHandset = codec_ open( HANDSET_CODEC) ;

    / * Set codec par ameters */codec_dac_mode(hHandset , CODEC_DAC_15BI T) ;codec_adc_mode(hHandset , CODEC_ADC_15BI T) ;codec_ai n_gai n( hHandset , CODEC_AIN_0dB) ; / * kembal i kan ke 0dB */ codec_aout _gai n(hHandset , CODEC_AOUT_MI NUS_0dB) ;codec_sampl e_r ate( hHandset ,SR_2000) ; / * ubah di si ni */

    Frekuensi sampling ADC dapat diubah dengan memberikan nilai pada parameter

    kedua pada sub rutin codec_sampl e_r ate.

    b. Atur kembali function generator untuk menghasilkan sinyal sinusoida denganfrekuensi 100 Hz dan amplitudo 100 mVpp.

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    15/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 15

    c. Lakukan pengukuran seperti pada Tabel 2. Ganti konstanta kecepatan pencuplikanSR_2000 dengan SR_8000 berturut-turut. Setiap selesai mengganti konstanta,

    lakukan Rebuild Allkemudian Load Program dan Run.

    Tabel 2. Pengamatan nilai frekuensi sampling ADC terhadap sinyal input.

    Tegangan input dijaga konstan 100 mVpp

    Data ADC yang diamati pada jendela grafik Domain WaktuFrekuensi sinyal input (Hz)

    SR_2000 SR_8000

    500

    1000

    2000

    3000

    4000

    50006000

    7000

    8000

    9000

    Catatan :

    Pada board DSK, anda tidak dapat melihat efek aliasing. Hal ini disebabkan karena codec yang

    digunakan pada board (IC TLC320AD50) telah memiliki filter internal yang mempunyai frekuensi

    cut-off yang terskala secara linier mengikuti frekuensi sampling yang diberikan. Anda dapat

    meminta dosen atau asisten untuk mendemokan efek aliasing.

    d. Setelah selesai, pilih Project Halt.

    e. Tutup aplikasi Code Composer Studio dengan memilih File Exit.

    f. Matikan oscilloscope, function generator dan matikan PC dengan prosedurshutdown yang benar.

    g. Matikan board DSK dengan cara mematikan adaptor, rapikan kabel-kabel danperalatan yang telah anda gunakan.

    8. Diskusi(Gunakan bagian diskusi ini pada analisa di laporan resmi)

    1. Setelah anda menganalisa hasil pengukuran pada Tabel 1, kesimpulan apa yang dapatdiambil?

    2. Setelah anda menanalisa hasil pengukuran pada Tabel 2, bagaimana hubungan antarafrekuensi sampling pada codec dengan frekuensi sinyal input?

    3. Jelaskan masalah yang timbul akibat adanya aliasing? Bagaimana cara untukmenghindari timbulnya aliasing?

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s
  • 7/22/2019 Modul Dewa89s Ps2 Adc

    16/16

    Praktikum Pengolahan Sinyal Analog to Digital Converter Modul 2

    [email protected] 16

    9. Pertanyaan pendahuluan

    1. Sebuah sinyal dengan amplitudo 20 mV dimasukkan kedalam sistem yang mempunyaipenguatan sebesar +6dB. Berapakah nilai tegangan outputnya?

    2. Secara teori, apabila anda ingin menampilkan sinyal AC pada jala-jala listrik yangmempunyai frekuensi 50Hz, berapakah kecepatan sampling minimum dari alat yang

    anda gunakan?

    10. Tambahan

    Berikan saran atau komentar guna pengembangan lebih lanjut praktikum ini.

    Materi ini dapat digunakan, dikutip, dan disebarluaskan

    untuk pendidikan. Cukup cantumkan sumber asli. Semoga menjadi barokah.

    rev.3 updated: 25 September 2007

    https://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89shttps://www.youtube.com/user/Dewa89s