Laporan Praktikum Digital 7

24
LAPORAN PRAKTIKUM DIGITAL NOMOR PERCOBAAN : 7 JUDUL PERCOBAAN : Encoder KELAS / GROUP : Telkom 2-A / 6 NAMA PRAKTIKAN : 1. Nur Aminah (Penanggung Jawab) 2. M. Aditya Prasetyadin 3. Saiful Fatihin PROGRAM STUDI TEKNIK TELEKOMUNIKASI

description

laporan praktikum

Transcript of Laporan Praktikum Digital 7

LAPORAN PRAKTIKUM DIGITALNOMOR PERCOBAAN:7JUDUL PERCOBAAN:Encoder

KELAS / GROUP:Telkom 2-A / 6NAMA PRAKTIKAN:1.Nur Aminah (Penanggung Jawab)

2.M. Aditya Prasetyadin

3.Saiful Fatihin

PROGRAM STUDI TEKNIK TELEKOMUNIKASI

POLITEKNIK NEGERI JAKARTA

DEPOK

2012

PERCOBAAN 7ENCODER

1. TUJUAN :

Memahami prinsip kerja dari rangkaian Encoder

Membedakan prinsip kerja rangkaian Encoder dan Priority Encoder Merancang beberapa jenis rangkaian Encoder

2. DASAR TEORI

Rangkaian Encoder berfungsi menterjemahkan salah satu inputnya menjadi urutan bit bit biner. Encoder terdiri dari beberapa input line, hanya salah satu dari input input tersebut diaktifka pada waktu tertentu, yang selanjutnya akan menghasilkan kode output N-bit.Gambar2.1. menunjukan blok diagram dari sebuah encoder.

Gambar 2.1. Blok diagram Encoder

Tabel 1.1. Encoder 8 to 3.INPUTOUTPUT

D0D1D2D3D4D5D6D7CBA

10000000000

01000000001

00100000010

00010000011

00001000100

00000100101

00000010110

00000001111

Berdasarkan output dari tabel kebenaran diatas, dibuat rangkaian encoder yang merupakan aplikasi dari gerbang OR, seperti ditunjukkan pada gambaar 2.2.

Gambar 2.1. Rangkaian Encoder 8 to 3PRIORITY ENCODER

Priority Encoder adalah rangkaian Encoder yang mempunyai fungsi prioritas. Operasi dari rangkaian Priority Encoder adalah sebagai berikut : Jika ada dua atau lebih input bernilai 1 pada saat yang sama, maka input yang mempunyai prioritas tertinggi yang akan diambil. Tabel Kebenaran Priority Encoder di berikan pada tabel 1.2. Kondisi x adalah kondisi dont care, yang menyatakan nilai input bisa 1 atau 0. Input D# mempunyai prioritas tertinggi, sehingga bila input ini bernilai 1 maka output B dan A keduanya akan bernilai 1 (11 menyatakan biner dari 3). Input D2 mempunyai prioritas kedua, dengan output B dan A bernilai 10 menyatakan biner 2, dimana input D2 = 1 dan D3 = 0. Input D1 adalah prioritas ke tiga dengan output B dan A bernilai 01 menyatakan biner 1, dimana input D1= 1, sedangan D2=D3=0. Prioritas terendah adalah input D0, yang akan memberikan output B dan A = 00 (menyatakan biner 0) Tabel 1.2. Priority Encoder 4 to 2.INPUTOUTPUT

D3D2D1D0BA

0000XX

000100

001X01

01XX10

1XXX11

3. ALAT ALAT YANG DIPERGUNAKAN

No.Alat-alat dan komponenJumlah

1IC 7400 ( Quad 2 Input NAND Gate )

IC 7408 ( Quad 2 Input AND Gate )

IC 7432 ( Quad 2 Input OR Gate )

IC 74147 ( 10 Line to 4 Line Priority Encoders )

IC 74148 ( 8 Line to 3 Line Priority Encoders )11

3

1

1

2Power Supply DC1

3Multimeter1

4Logic Probe1

5Resistor 220 7

6LED7

7Protoboard1

8Kabel-kabel penghubungSecukupnya

4. LANGKAH-LANGKAH PERCOBAAN

Langkah langkah dalam melakukan percobaan adalah sebagai berikut :

4.1. Encoder Desimal ke BCD (Binary code Decimal)1) Lihat data sheet untuk masing masing IC yang dipergunakan, catat kaki kaki input, output serta kaki Vcc dan Ground.

2) Atur tegangan power supply sebesar 5 volt

3) Buat rangkaian seperti gambar 4.1.

4) Hubungkan semua input ke GND (logika 0). Amati Led output D,C,B, dan A. Catat hasilnya pada tabel 6.1.

5) Hubungkan input S1 sampai dengan S9 secara bergantian ke Vcc (logika 1) Amati LED output D,C,B,dan A. Catat hasilnya pada tabel 6.1.

4.2. Priority Encoders (IC 74147 dan IC 74148).

6) Buat rangkaian seperti gambar 4.2. dan 4.3.7) Berikan logik 0 dan / logik 1 pada masing masing input sesuaii tabel 6.2 dan tabel 6.3, Amati LED pada masing masing output. Catat hasilnya pada tabel 6.2 dan 6.3.

Gambar 4.2. Priority Encoder 10 Line to 4 Line

Gambar 4.3. Priority Encoder 8 Line to 3 Line

5. PERTANYAAN DAN TUGAS1. Rancanglah Rangkaian Priority Encoder dengan 4 input dan 2 output. Jelaskan cara mendisain rangkaian tersebut (lengkapi Tabel Kebenaran, K-Map, dan persamaan logika yang didapatkan)

2. Buat Kesimpulan dari percobaan ini !Jawab :1. Tabel Kebenaran

INPUTOUTPUT

D3D2D1D0BA

0000XX

000100

001X01

01XX10

1XXX11

D1 D000011110d0d0

1ddD

dddD

1ddD

D3 D2 00

01

11

10

B = D1 D0 + D3 +D2 D1 D000011110d0d1

0ddD

dddD

1ddD

D3 D2 00

01

11

10

A = D1 + D3

Penjelasan cara mendisain rangkaian :

Cara mendisain rangkaian ini menggunakan table kebenaran yang sudah ada, lalu memasukan kedalam K-Map dengan mencari pasangan pasangan yang dapat dieliminasi hingga didapatkan persamaan logikanya, dan terakhir menggambar rangkaian menggunakan persamaan yang ada.

DATA HASIL PERCOBAAN

No. Percobaan: 07Pelaksanaan Praktikum: 03 April 2012

Judul: ENCODERPenyerahan Laporan: 10 April 2012

Mata Kuliah: Laboratorium DigitalNama Kelompok: Nur Aminah

Kelas/Kelompok: TT-2A/06:M.Aditya Prasetyadin

Tahun Akademik: 2012: Saiful Fatihin

Tabel 6.1. Encoder 10 to 4.

INPUTOUTPUT

D0D1D2D3D4D5D6D7D8D9DCBA

10000000000000

01000000000001

00100000000010

00010000000011

00001000000100

00000100000101

00000010000110

00000001000111

00000000101000

00000000011001

Tabel 6.2. Priority Encoder 10 to 4 (IC 74147).

INPUTOUTPUT

D0D1D2D3D4D5D6D7D8D9DCBA

1XXXXXXXXX1111

0XXXXXXXX00110

0XXXXXXX010111

0XXXXXX0111000

0XXXXX01111001

0XXXX011111010

0XXX0111111011

0XX01111111100

0X011111111101

00111111111110

Tabel 6.3. Priority Encoder 8 to 3 (IC 74148).INPUTOUTPUT

ElD0D1D2D3D4D5D6D7CBAEOGS

1XXXXXXXX11111

01111111111110

0XXXXXXX000001

0XXXXXX0100101

0XXXXX01101001

0XXXX011101101

0XXX0111110001

0XX01111110101

0X011111111001

00111111111101

Tabel 4. Priority Encoder 16 to 4 (IC 74148) Aktif Low

INPUTOUTPUT

ElD0D1D2D3D4D5D6D7D8D9D10D11D12D13D14D150123PF

1XXXXXXXXXXXXXXXX11111

0111111111111111111110

0XXXXXXXXXXXXXXX000000

0XXXXXXXXXXXXXX0110000

0XXXXXXXXXXXXX01101000

0XXXXXXXXXXXX011111000

0XXXXXXXXXXX0111100100

0XXXXXXXXXX01111110100

0XXXXXXXXX011111101100

0XXXXXXXX0111111111100

0XXXXXXX01111111100010

0XXXXXX011111111110010

0XXXXX0111111111101010

0XXXX01111111111111010

0XXX011111111111100110

0XX0111111111111110110

0X01111111111111101110

0011111111111111111110

Tabel 4. Priority Encoder 16 to 4 (IC 74148) Aktif High

INPUTOUTPUT

ElD0D1D2D3D4D5D6D7D8D9D10D11D12D13D14D150123PF

1XXXXXXXXXXXXXXXX00010

0111111111111111100011

0XXXXXXXXXXXXXXX011111

0XXXXXXXXXXXXXX0101111

0XXXXXXXXXXXXX01110111

0XXXXXXXXXXXX011100111

0XXXXXXXXXXX0111111011

0XXXXXXXXXX01111101011

0XXXXXXXXX011111110011

0XXXXXXXX0111111100011

0XXXXXXX01111111111101

0XXXXXX011111111101101

0XXXXX0111111111110101

0XXXX01111111111100101

0XXX011111111111111001

0XX0111111111111101001

0X01111111111111110001

0011111111111111100001

ANALISA DATA

Tabel 6.1. Encoder 10 to 4

Input D0 = 1 maka yang mempunyai prioritas tertinggi adalah D0, maka outputnya D = 0 ,C = 0, B = 0, A = 0 (0000 menyatakan biner dari 0) adalah benar

Input D1 = 1 maka yang mempunyai prioritas tertinggi adalah D1, maka outputnya D = 0 ,C = 0, B = 0, A = 1 (0001 menyatakan biner dari 1) adalah benar

Input D2 = 1 maka yang mempunyai prioritas tertinggi adalah D2, maka outputnya D = 0 ,C = 0, B = 1, A = 0 (0010 menyatakan biner dari 2) adalah benar

Input D3 = 1 maka yang mempunyai prioritas tertinggi adalah D3, maka outputnya D = 0 ,C = 0, B = 1, A = 1 (0011 menyatakan biner dari 3) adalah benar

Input D4 = 1 maka yang mempunyai prioritas tertinggi adalah D4, maka outputnya D = 0 ,C = 1, B = 0, A = 0 (0100 menyatakan biner dari 4) adalah benar

Input D5 = 1 maka yang mempunyai prioritas tertinggi adalah D5, maka outputnya D = 0 ,C = 1, B = 0, A = 1 (0101 menyatakan biner dari 5) adalah benar

Input D6 = 1 maka yang mempunyai prioritas tertinggi adalah D6, maka outputnya D = 0 ,C = 1, B = 1, A = 0 (0000 menyatakan biner dari 6) adalah benar

Input D7 = 1 maka yang mempunyai prioritas tertinggi adalah D7, maka outputnya D = 0 ,C = 1, B = 1, A = 1 (0111 menyatakan biner dari 7) adalah benar

Input D8 = 1 maka yang mempunyai prioritas tertinggi adalah D8, maka outputnya D = 1 ,C = 0, B = 0, A = 0 (1000 menyatakan biner dari 8) adalah benar

Input D9 = 1 maka yang mempunyai prioritas tertinggi adalah D9, maka outputnya D = 1 ,C = 0, B = 0, A = 1 (1001 menyatakan biner dari 9) adalah benar

Tabel 6.2. Priority Encoder 10 to 4 (IC 74147)

Input D0 = 1 atau disebut juga sebagai enable ,sedangkan input D1 D9 dont care, maka output akan mengikuti enable yaitu D = 1 ,C = 1 ,B = 1 ,A = 1 adalah benar

Input D9 = 0 maka yang mempunyai prioritas terendah adalah D9, maka outputnya D = 0 ,C = 1, B = 1, A = 0 (0110 menyatakan kebalikan biner dari 1001 / 9 ,karena input priority yang dimasukkan adalah 0) adalah benar.

Input D8 = 0 maka yang mempunyai prioritas terendah adalah D8, maka outputnya D = 0 ,C = 1, B = 1, A = 1 (0111 menyatakan kebalikan biner dari 1000 / 8 ,karena input priority yang dimasukkan adalah 0) adalah benar.

Input D7 = 0 maka yang mempunyai prioritas terendah adalah D7, maka outputnya D = 1 ,C = 0, B = 0, A = 0 (1000 menyatakan kebalikan biner dari 0111 / 7 ,karena input priority yang dimasukkan adalah 0) adalah benar.

Input D6 = 0 maka yang mempunyai prioritas terendah adalah D6, maka outputnya D = 1 ,C = 0, B = 0, A = 1 (1001 menyatakan kebalikan biner dari 0110 / 6 ,karena input priority yang dimasukkan adalah 0) adalah benar.

Input D5 = 0 maka yang mempunyai prioritas terendah adalah D5, maka outputnya D = 1 ,C =0, B = 1, A = 0 (1010 menyatakan kebalikan biner dari 0101 / 5 ,karena input priority yang dimasukkan adalah 0) adalah benar.

Input D4 = 0 maka yang mempunyai prioritas terendah adalah D4, maka outputnya D = 1 ,C = 0, B = 1, A = 1 (1011 menyatakan kebalikan biner dari 0100 / 4 ,karena input priority yang dimasukkan adalah 0) adalah benar.

Input D3 = 0 maka yang mempunyai prioritas terendah adalah D3, maka outputnya D = 1 ,C = 1, B = 0, A = 0 (1100 menyatakan kebalikan biner dari 0011 / 3 ,karena input priority yang dimasukkan adalah 0) adalah benar.

Input D2 = 0 maka yang mempunyai prioritas terendah adalah D2, maka outputnya D = 1 ,C = 1, B = 0, A = 1 (1101 menyatakan kebalikan biner dari 0010 / 2 ,karena input priority yang dimasukkan adalah 0) adalah benar.

Input D1 = 0 maka yang mempunyai prioritas terendah adalah D1, maka outputnya D = 1 ,C = 1, B = 1, A = 0 (1110 menyatakan kebalikan biner dari 0001 / 1 ,karena input priority yang dimasukkan adalah 0) adalah benar.

Tabel 6.3. Priority Encoder 8 to 3 (IC 74148)

Input El / Enable = 1 ,sedangkan input D0 D7 dont care, maka output akan mengikuti enable yaitu C = 1 ,B = 1 ,A = 1 ,EO = 1 ,GS = 1 adalah benar

Input El / Enable = 0 sedangkan input D0-D7 = 1 maka output C, B, A, EO adalah 1 karena input yang dimasukkan = 1 dan GS = 0 Input D7 = 0 dan EI / Enable = 0 maka yang mempunyai prioritas terendah adalah D7, maka outputnya C = 0, B = 0, A = 0 (000 menyatakan kebalikan biner dari 111 / 7 ,karena input priority yang dimasukkan adalah 0) dan EO = 0 dan GS = 1

Input D6 = 0 dan EI / Enable = 0 maka yang mempunyai prioritas terendah adalah D6, maka outputnya C = 0, B = 0, A = 1 (001 menyatakan kebalikan biner dari 110 / 6 ,karena input priority yang dimasukkan adalah 0) dan EO = 0 dan GS = 1

Input D5 = 0 dan EI / Enable = 0 maka yang mempunyai prioritas terendah adalah D5, maka outputnya C =0, B = 1, A = 0 (010 menyatakan kebalikan biner dari 101 / 5 ,karena input priority yang dimasukkan adalah 0) dan EO = 0 dan GS = 1

Input D4 = 0 dan EI / Enable = 0 maka yang mempunyai prioritas terendah adalah D4, maka outputnya C = 0, B = 1, A = 1 (011 menyatakan kebalikan biner dari 100 / 4 ,karena input priority yang dimasukkan adalah 0) dan EO = 0 dan GS = 1

Input D3 = 0 dan EI / Enable = 0 maka yang mempunyai prioritas terendah adalah D3, maka outputnya C = 1, B = 0, A = 0 (100 menyatakan kebalikan biner dari 011 / 3 ,karena input priority yang dimasukkan adalah 0) dan EO = 0 dan GS = 1

Input D2 = 0 dan EI / Enable = 0 maka yang mempunyai prioritas terendah adalah D2, maka outputnya C = 1, B = 0, A = 1 (101 menyatakan kebalikan biner dari 010 / 2 ,karena input priority yang dimasukkan adalah 0) dan EO = 0 dan GS = 1

Input D1 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D1, maka outputnya C = 1, B = 1, A = 0 (110 menyatakan kebalikan biner dari 001 / 1 ,karena input priority yang dimasukkan adalah 0) dan EO = 0 dan GS = 1

Input D0 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D0, maka outputnya C = 1, B = 1, A = 1 (111 menyatakan kebalikan biner dari 000 / 0 ,karena input priority yang dimasukkan adalah 0) dan EO = 0 dan GS = 1

Tabel 4. Priority Encoder 16 to 4 (IC 74148) Aktif Low

Input El / Enable = 1 ,sedangkan input D0 D15 dont care, maka output akan mengikuti enable yaitu 1111 karena pada percobaan ini juga adalah aktif low dan PF = 1 adalah benar

Input El / Enable = 0 sedangkan input D0-D15 = 1 maka output adalah 1 karena input yang dimasukkan = 1 dan PF = 0 Input D15 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D15, maka outputnya 0000 (0000 menyatakan kebalikan biner dari 1111 / 15 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Input D14 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D14, maka outputnya 0001 (0001 menyatakan kebalikan biner dari 1110 / 14 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Input D13 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D13, maka outputnya 0010 (0010 menyatakan kebalikan biner dari 1101 / 13 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Input D12 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D12, maka outputnya 0011 (0011 menyatakan kebalikan biner dari 1100 / 12 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Input D11 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D11, maka outputnya 0100 (0100 menyatakan kebalikan biner dari 1011 / 11 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Input D10 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D10, maka outputnya 0101 (0101 menyatakan kebalikan biner dari 1010 / 10 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Input D9 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D9, maka outputnya 0110 (0110 menyatakan kebalikan biner dari 1001 / 9 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Input D8 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D8, maka outputnya 0111 (0111 menyatakan kebalikan biner dari 1000 / 8 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Input D7 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D7, maka outputnya 1000 (1000 menyatakan kebalikan biner dari 0111 / 7 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Input D6 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D6, maka outputnya 1001 (1001 menyatakan kebalikan biner dari 0110 / 6 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Input D5 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D5, maka outputnya 1010 (1010 menyatakan kebalikan biner dari 0101 / 5 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Input D4 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D4, maka outputnya 1011 (1011 menyatakan kebalikan biner dari 0100 / 4 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Input D3 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D3, maka outputnya 1100 (1100 menyatakan kebalikan biner dari 0011 / 3 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Input D2 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D2, maka outputnya 1101 (1101 menyatakan kebalikan biner dari 0010 / 2 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Input D1 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D1, maka outputnya 1110 (1110 menyatakan kebalikan biner dari 0001 / 1 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Input D0 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D0, maka outputnya 1111 (1111 menyatakan kebalikan biner dari 0000 / 0 ,karena input priority yang dimasukkan adalah 0) dan PF / Prioritas Flag = 0 karena aktif low.

Tabel 4. Priority Encoder 16 to 4 (IC 74148) Aktif High

Input El / Enable = 1 ,sedangkan input D0 D15 dont care, maka output akan 0 semua termasuk PF karena aktif high, kecuali pada output 3 itu = 1 karena pada output 3 tidak ada gerbang NAND pada rangkaiannya Input El / Enable = 0 sedangkan input D0-D15 = 1 maka output akan 0 karena aktif high ,tapi tidak untuk PF, PF = 1 karena input masukan mayoritas = 1, kecuali pada output 3 itu = 1 karena pada output 3 tidak ada gerbang NAND pada rangkaiannya Input D15 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D15, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 1111 dan PF / Prioritas Flag = 1 karena aktif high.

Input D14 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D14, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 1110 dan PF / Prioritas Flag = 1 karena aktif high.

Input D13 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D13, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 1101 dan PF / Prioritas Flag = 1 karena aktif high.

Input D12 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D12, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 1100 dan PF / Prioritas Flag = 1 karena aktif high.

Input D11 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D11, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 1011 dan PF / Prioritas Flag = 1 karena aktif high.

Input D10 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D10, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 1010 dan PF / Prioritas Flag = 1 karena aktif high.

Input D9 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D9, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 1001 dan PF / Prioritas Flag = 1 karena aktif high.

Input D8 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D8, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 1000 dan PF / Prioritas Flag = 1 karena aktif high.

Input D7 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D7, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 0111 dan PF / Prioritas Flag = 1 karena aktif high.

Input D6 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D6, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 0110 dan PF / Prioritas Flag = 1 karena aktif high.

Input D5 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D5, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 0101 dan PF / Prioritas Flag = 1 karena aktif high.

Input D4 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D4, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 0100 dan PF / Prioritas Flag = 1 karena aktif high.

Input D3 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D3, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 0011 dan PF / Prioritas Flag = 1 karena aktif high.

Input D2 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D2, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 0010 dan PF / Prioritas Flag = 1 karena aktif high.

Input D1 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D1, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 0001 dan PF / Prioritas Flag = 1 karena aktif high.

Input D0 = 0 dan EI / Enable= 0 maka yang mempunyai prioritas terendah adalah D0, (walaupun prioritas rendah namun aktif nya adalah aktif high jadi ouput akan meghasilkan seperti memasukkan input prioritas tertinggi / 1), maka outputnya 0000 dan PF / Prioritas Flag = 1 karena aktif high.

KESIMPULAN

Dari percobaan yang dilakukan, terbukti bahwa Encoder adalah rangkaian yang berfungsi menerjemahkan salah satu inputnya dari beberapa input line yang menjadi masukan / input yang selanjutnya menghasilkan output N-bit, pun dengan Priority Encoder dimana input yang mempunyai prioritas lah yang diambil untuk dijadikan output, selain itu harus juga memperhatikan input aktif low atau aktif high. Rangkaian Encoder juga dapat dibuat menggunakan gerbang OR, IC 74147 dan IC 74148.

LAMPIRAN

_1395585977.wmf

_1395585979.wmf

_1395585968.wmf