Laporan Praktik Ead Counter

36
LAPORAN PRAKTIK RANGKAIAN COUNTER/PENCACAH ELEKTRONIKA DAN ANALOG DIGITAL Disusun oleh : Rendra Ananta Prima Hardiyanta 14504241052/C1

description

otomotif

Transcript of Laporan Praktik Ead Counter

LAPORAN PRAKTIKRANGKAIAN COUNTER/PENCACAHELEKTRONIKA DAN ANALOG DIGITAL

Disusun oleh :Rendra Ananta Prima Hardiyanta14504241052/C1

JURUSAN PENDIDIKAN TEKNIK OTOMOTIFFAKULTAS TEKNIKUNIVERSITAS NEGERI YOGYAKARTA2015I. Kompetensi:Membuat rangkaian counter dan display dengan seven segment. II. Sub Kompetensi: 1. Menerangkan prinsip kerja decade counter (IC 4017)2. Menerangkan prinsip kerja BCD counter (IC 4518)3. Menerangkan prinsip kerja decoder BCD to 7 segment (IC 4511)4. Menerangkan prinsip kerja counter dengan display 7 segment (IC 4026)5. Menerangkan cara kerja seven segment tipe common anoda dan catoda.6. Membuat rangkaian counter dan display dengan seven segment.III. Alat dan Bahan:1. Multimeter2. Training object EAD3. Kabel penghubung4. IC 40175. IC 45186. IC 45117. IC 40268. Seven segment9. Resistor10. Saklar push on11. Saklar push off12. Kapasitor 13. LED

IV. Keselamatan Kerja: 1. Menggunakan alat praktikum sesuai dengan fungsinya.2. Melaksanakan praktikum sesuai dengan prosedur kerja.3. Memposisikan selektor secara benar saat mengoperasikan multimeter.4. Pegang IC pada bodinya, tidak dianjurkan memegang IC pada pin-pin IC.5. Menanyakan pada instruktur apabila mengalami permasalahan praktikum.

V. Dasar TeoriCounter secara umum Counter merupakan rangkaian logika pengurut, karena counter membutuhkan karakteristik memori, dan pewaktu memegang peranan yang penting. Counter digital mempunyai karakteristik penting yaitu sebagai berikut : 1. Jumlah hitungan maksimum (modulus N-counter) 2. Menghitung ke-atas atau ke-bawah (up atau down - counter) 3. Operasi asinkron atau sinkron 4. Bergerak bebas atau berhenti sendiri Sebagaimana dengan rangkaian sekuensial yang lain, untuk menyusun counter digunakan flip-flop. Counter dapat digunakan untuk menghitung banyaknya clock-pulsa dalam waktu yang tersedia (pengukuran frekuensi), Counter dapat juga digunakan untuk membagi frekuensi dan menyimpan data. Ada dua macam counter, yaitu Asinkronous Counter dan Sinkronous Counter. Asinkronous Counter disebut juga Ripple Through Counter atau Counter Serial (Serial Counter), karena output masing-masing flip-flop yang digunakan akan berubah kondisi dari 0 ke 1 dan sebaliknya secara berurutan, hal ini disebabkan karena flip-flop yang paling ujung dikendalikan oleh sinyal clock, sedangkan sinyal clock untuk flip-flop lainnya berasal dari masing-masing flip-flop sebelumnya. Sedangkan pada counter sinkron, output flip-flop yang digunakan bergantian secara serempak. Hal ini disebabkan karena masing-masing flip-flop tersebut dikendalikan secara serempak oleh sinyal clock. Oleh karena itu Counter Sinkron dapat pula disebut sebagai Counter paralel (Parallel Counter). VI. Langkah KerjaRangkaian Counter dengan IC 40171. Persiapan alat dan bahan.2. Buat rangkaian counter dengan IC 4017 seperti pada gambar 9.1 pada program live ware. Buat rangkaian pula pada training object EAD.

Gambar 9.1. Rangkaian counter dengan IC 4017 3. Simulasikan, amati dan diskusikan cara kerja dari rangkaian tersebut.4. Buat rangkaian counter (IC 4017) dengan saklar reset dan enable seperti gambar 9.2, pada program live ware dan pada training object.

Gambar 9.2. Rangkaian counter (IC 4017) dengan saklar reset dan enable5. Simulasikan rangkaian tersebut pada program live ware dan training object, amati dan diskusikan fungsi dari tombol reset dan enable pada rangkaian tersebut.

Rangkaian Counter dengan IC 4518 (Biner Coded Decimal) dan display seven segment1. Buat rangkaian counter dengan IC 4518 dan dengan display menggunakan LED seperti pada gambar 9.3 pada program live wire dan training object.

Gambar 9.3. Rangkaian counter (IC 4518) dengan display LED2. Simulasikan dengan menekan saklar input.3. Amati kerja dari rangkaian tersebut dan diskusikan cara kerjanya.4. Identifikasi seven segment apakah termasuk common catoda atau anoda.

Gambar 9.4. Konstruksi seven segment common katoda dan anoda5. Buat rangkaian counter dengan IC 4518 dan 1 display seven segment seperti pada gambar 9.5 pada program live wire dan project board.

Gambar 9.5. Rangkaian counter (IC 4518) dengan display 7 segment6. Simulasikan, amati dan diskusikan cara kerja dari rangkaian tersebut.7. Bersihkan dan rapikan kembali alat dan bahan praktik.

Rangkaian Counter dengan IC 4026 (Decade Counter with Decoded 7-Segment Display Output) dan Display Seven Segment

1. Buat rangkaian counter dengan IC 4026 dan 1 display seven segment seperti pada gambar 9.6 pada program live wire.

Gambar 9.6. Rangkaian counter dengan 1 display 7 segment2. Simulasikan dan amati kerja dari rangkaian tersebut.3. Diskusikan cara kerja dari rangkaian tersebut.4. Buat rangkaian counter dengan IC 4026 dan 2 display seven segment seperti pada gambar 9.7 pada program live wire.

Gambar 9.7. Rangkaian counter dengan 2 display 7 segment5. Simulasikan, amati dan diskusikan cara kerja dari rangkaian tersebut.6. Buat laporan dan kerjakan tugas pada poin D.

VI. Analisis Data Hasil PraktikA. Hasil Pengujian Rangkaian Counter dengan IC 40171. Jelaskan fungsi masing-masing PIN pada IC 4017!

NOLAMBANGNO. KAKIKETERANGAN

1VDD16MASUKAN ALIRAN LISTRIK POSITIF

2VSS8MASUKAN ALIRAN LISTRIK NEGATIF

3RST15RESET/UNTUK MERESET

4CLK14CLOCK/TIMER

5CE13CLOCK ENABLE

65-912KETIKA KUARAN 05-09 NYALA MAKA KAKI 12 BERNILAI NOL (UNTUK MENGKASGANDAKAN KE IC SELANJUTNYA)

7O03OUTPUT KE 0

8O12OUTPUT KE 1

9O24OUTPUT KE 2

10O37OUTPUT KE 3

11O410OUTPUT KE 4

12O51OUTPUT KE 5

13O65OUTPUT KE 6

14O76OUTPUT KE 7

15O89OUTPUT KE 8

16O911OUTPUT KE 9

2. Tabel kebenaran dari rangkaian 1Input pulsa ke-Output

012345678910

010000000001

101000000001

200100000001

300010000001

400001000001

500000100000

600000010000

700000001000

800000000100

900000000010

1000000000001

3. Uraikan cara kerja rangkaian counter dengan IC 4017!

Pada rangkaian Counter dengan IC4017 saat saklar enable clock dalam kondisi terbuka maka lampu LED menyala secara bergantian/berjalan dari LED 1 sampai 10 sesuai dengan clock yang diberikan. Sedangkan saat tombol enable clock ditekan (tertutup) maka lampu LED berhenti beerjalan. Ketika tombol reset ditekan maka lampu LED akan menyala mulai dari awal lagi yaitu LED nomor 1 kemudian berjalan lagi. Hal ini terjadi karena output yang dikeluarkan oleh IC 4017. Ketika enable cRangkaian Counter ini menggunakan IC 4017 yang merupakaj IC Decade Counter yang keluarannya bernilai logika 1 high, secara bergantian pada kaki outputnya dengan jangka waktu sesuai dengan timer / clock yang masuk ke dalam IC tersebut, sebagai pembangkit clock kita gunakan input clock 1 Hz. IC ini sebagai IC pencacah dengan 10 output. IC menghasilkan 10 output dari Q0 sampai Q9, yang masing masing terdapat dalam 1 pin. Pada setiap pencacahan hanya satu keluaran yang berlogika 1, kesembilan keluaran lainnya berlogika 0. Jadi setiap keluaran hanya ada 1 keluaran yang berlogika 1, dan yang lainnya berlogika 0. Pada IC ini juga terdapat carry out yang terletak pada pin 12 yang selalu berlogika 1 saat pencacahan dari Q0 sampai Q4, dan akan berlogika 0 saat Q5 sampai Q9.

4. KesimpulanRangkaian counter dengan IC 4017 memberian sinyal output secara bergantian dari Q0 sampai Q9 (10 Output) dengan periode sesuai dengan yang diberikan pada clock. Lampu LED pada Q10 menyala ketika output Q0 sampai Q4 selanjutnya dari Q5 sampai Q9 lampi LED pada Q10 padam.

5. AplikasiRangkaian ini dapat diaplikasikan pada lampu hias berjalan, lampu peringatan yang berjalan dan lampu undian

Kecepatan lampu dapat diatur dengan mengubah nilai resistor yang ada pada R2,R3 dan/atau kapasitor C1. Cara mempercepat clock : Mengirangi resistensi R2 dan R3 Mengurangi kapasitansi C1Untuk mesin/lampu undian jalannya lampu dibuat lebih cepat dan untuk menghentikan lampu ditekan saklar/tombol SW2(STOP) dan untuk mengulang dari awal tekan tombol SW3(RESET).

B. Hasil Pengujian Rangkaian Counter dengan IC 4518 dan Display 7 Segment.1. Jelaskan fungsi masing-masing PIN pada IC 4518 dan IC 4511!

2. Tabel kebenaran dari rangkaian 1 (IC 4518)Input Pulsa Ke-Output DOutput COutput BOutput A

00000

10001

20010

30011

40100

50101

60110

70111

81000

91001

101010

111001

3. Uraikan perbedaan 7 segment common katoda dan anoda, dan cara pemeriksaanya!

Untuk menggunakan peraga/penampil 7 segmen katoda bersama (common cathoda) maka pin A G penampil 7 segment harus diberikan input berupa tegangan DC positif kemudian terminal common pada penampil 7 segmen dihubungkan ke ground. Kemudian untuk mengoperasikan penampil 7 segmen anoda bersama (common anoda) maka terminal input A G pada penampil 7 segmen harus dihubungkan ke ground kemudian terminal common dihubungkan ke sumber tegangan DC positif.

CARA PENGECEKAN 7-SEGMENT :Common Katoda1. Kalibrasi multitester pada skala 1 ohm2. Tempatkan penyindik (+) pada multitester ke 7 segment bagian kawat tengah bawah3. Tempatkan penyindik (+)pada multitester ke 7 segment bagian kawat yang lain secara berpindah pindah maka akan menyalakan lampu tiap bagian pada seven segment begitu seterusnya sampai lampu segment dapat menyala secara berurutan

Common Anoda1. Kalibrasi multitester pada skala 1 ohm2. Tempatkan penyindik (-) pada multitester ke 7 segment bagian kawat tengah bawah.3. Tempatkan penyindik (+)pada multitester ke 7 segment bagian kawat yang lain secara berpindah pindah maka akan menyalakan lampu tiap bagian pada seven segment begitu seterusnya sampai lampu segment dapat menyala secara berurutan.4. Uraikan cara kerja rangkaian counter dengan IC 4518 dan display 7 segment!Rangkaian counter terdiri dari IC 4518,IC 4511 dan display 7-Segment. IC 4518 (Biner Coded Decimal) berfungsi mengubah sinyal clock/input menjadi 4-bit bilangan biner dan selanjutnya akan diterjemahkan oleh IC 4511 menjadi input angka pada 7-segment sehingga pada 7-segment dapat terlihat hasil clock/input.Dekoder BCD ke penampil 7 segmen di pasaran dapat berasal dari keluarga IC TTL dan IC digital CMOS, salah satu contoh dekoder BCD ke 7 segmen dari keluarga IC digital CMOS adalah tipe 4511. Fungsi dari dekoder BCD (Biner Coded Decimal) ke 7 penampil 7 segmen ini adalah untuk mengubah data digital dalam format BCD untuk ditampilkan dalam format angka desimal secara visual. Keluaran sistem digital pada umumnya berupa kode BCD, agar dapat menampilkan nilai kode biner tersebut ke dalam tampilan desimal maka diperlukan dekoder BCD ke tujuh segmen untuk menyalakan masing-masing segmen pada penampil. IC dekoder BCD ke penampil 7 segmen tipe 4511 memiliki beberapa bagian internal dengan bentuk diagram blok fungsionalnya ditunjukan pada gambar berikut. Pada dekoder 4511 dilengkapi dengan fasilitas Lamp Test (), Blanking Input () dan Enable Latch () yang fungsinya adalah sebagai berikut. Lamp Test () berfungsi untuk menyalakan semua peraga tujuh segmen tanpa terpengaruh oleh perubahan data masukan saat pada terminal Lamp Test () diberikan logika rendah (logika 0). Blanking Input () berfungsi untuk memadamkan semua peraga tujuh segmen tanpa terpengaruh data masukan saat pada terminal Blanking Input () diberikan logika rendah (logika 0). Enable Latch () berfungsi untuk menahan tampilan peraga tujuh segmen saat pada terminal Enable Latch () diberikan logika rendah (logika 0) walaupun terjadi perubahan data masukan. Dekoder 4511 adalah dekoder BCD ke penampil tujuh segmen katoda bersama yang dapat memberikan bentuk tampilan pada peraga tujuh segmen sesuai dengan data BCD 4-bit pada terminal data masukan. Bentuk tampilan yang dapat dihasilkan dari dekoder BCD ke tujuh segmen 4511 ditunjukan pada gambar berikut.

5. KesimpulanPrinsip kerja rangkaian counter dengan IC 4518 adalah dengan cara mengubah sinyal clock pada saklar menjadi sinyal berbentuk bilangan biner yang selanjutnya akan diterjemahkan oleh IC 4511 menjadi sinyal output untuk ditampilkan pada display seven segment sehingga dapat dibaca manusia. Rangkaian counter menggunakan IC 4518 dan IC 4511 dengan pulsa IC 555 Astable dapat digunakan sebagai penghitung waktu mundur dari angka 9 hingga 0.

6. AplikasiRangkaian ini jika dikombinasikan dengan IC 4511 dapat diaplikasikan pada penghitung mundur.

Untuk menghentikan hitungan mundur dengan cara menekan saklar SW5 (STOP) sedangkan untuk mengatur ulang hitungan dengan menekan tombol SW4(RESET)

C. Hasil Pengujian Rangkaian Counter dengan IC 40261. Jelaskan fungsi masing-masing PIN pada IC 4026!

PINPINKETERANGAN

1ClockSinyal Pulsa

2Disable ClockMenghentikan Clock sehingga display 7-Segment juga berhenti

3Enable DisplayMenghidupkan atau mematikan display 7-Segment

4Enable OutD enableoisplay ut - untuk 4026s chaining

510 OutputMemberikan sinyal output setelah mencapai clock ke-sepuluh

6Output fOutput untuk masukanFsegmen tujuh

7Output gOutput untuk masukanGsegmen tujuh

8GND(0V)Sambungan ke 0 V rel

9Output dOutput untuk inputDsegmen tujuh

10Output aOutput untuk segmen tujuh di masukanA

11Output eOutput untuk masukanEsegmen tujuh

12Output bOutput untuk inputBsegmen tujuh

13Output cOutput untuk masukanC-tujuhsegmen

14Not 2 OutputUngatedC-segment - output untuk inputCtujuh segmen-itu yang tidak terpengaruh oleh inputDE.Output ini tinggi kecuali dihitung-2, ketika ia pergi rendah.

15ResetReset- reset semua keluaran

16VccSambungan ke 3-15 V

2. Uraikan cara kerja rangkaian counter dengan IC 4026 dan display 7 segment!IC 4026 akan mengeluarkan kode biner untuk mengaktifkan display 7-segment sesuai dengan input clock yang masuk pada kaki clock. Output sinyal yang dihasilkan oleh IC 4026 adalah sebagai berikut :

Jika dikehendaki counter dua digit maka digunakan juga dua buah seven segment seperti pada percobaan di bawah ini :

Jika pada counter satu digit clock yang diberikan hanya satu yaitu berasal dari rangkaian astable timer 555 maka pada counter dua digit ada dua clock yang masuk yaitu satu dari astable timer 555 untuk IC 4026 yang pertama dan yang satu lagi dari output pin 5 (Output 10) untuk IC 4026 yang kedua. IC 4026 yang pertama akan menampilkan angka pada seven segmen yang pertama (satuan) sedangkan IC 4026 yang kedua akan menampilkan angka pada seven segmen yang kedua (puluhan). Hal ini berlaku seterusnya. Pin-pin yang lain seperti enable display dan reset dirangkai parallel. Sehingga ketika ditekan tombol reset maka seluruh seven segmen akan mereset displaynya.

3. KesimpulanPrinsip kerja dari rangkaian diatas adalah IC 555 akan memberikan pulsa clock pada IC 4026 dimana 4026 akan mengeluarkan logika biner untuk mengaktifkan setiap kaki pada seven segment dapat anda lihat pada tabel logika seven segment. Seven Segment pertama akan menampilkan angka dari 1 sampai 9, dan ketika seven segment sudah mencapai angka 9 maka IC 4026 yang pertama memberikan logika 1 pada IC 4026 yang kedua sehingga seven segment yang kedua menampilkan angka satu, begitu seterusnya. Jika untuk mengulang counter angka pada seven segment anda bisa menekan push button yang diatur sebagai reset. Serta untuk mengatur waktu delay pergantian angka yang ditampilkan pada seven segment kita dapat mengendalikannya dengan mengaturnya pada variabel resistor ataupun kapasitor.

D. TugasKerjakan perintah di bawah dan hasilnya dimasukkan ke dalam laporan praktik.a. Buat rangkaian counter dengan 3 display 7 segment, serta rangkaian astable sebagai pembangkit pulsanya!

b. Buat desain PCB dari rangkaian tersebut dengan kreativitas saudara! (Buat seringkas mungkin)

DAFTAR PUSTAKA

Wijaya wijanarko.2009.Teknik Digital.jakarta:Erlanggahttp://elektronika-dasar.web.id/komponen/dekoder-bcd-ke-7-segmen-cmos-4511/http://baskarapunya.blogspot.com/2014/03/rangkaian-counter-dengan-jk-flip-flop.html

1. Counter Up Sinkron Modul 16 dengan JKFF. Yang pertama adalah rangkaian counter up sinkron, untuk rangkaian dibawah ini adalah rangkaian counter up sinkron modul 16 dengan JKFF (JK Flip-Flop).

Counter Up Sinkron Modul 16 dengan JKFF

Counter Up Sinkron Modul 16 dengan JKFF

dari rangkaian tersebut sudah dapat dilihat bahwasanya masing-masing JKFF mengeluarkan satu bit data, yang mana keseluruhan dari rangkaian ini jika dijumlahkan menjadi 4 bit data atau akan melakukan counter/pencacahan dari 0 hingga 15 dalam bilangan desimal, cara kerja dari rangkaian ini adalah ketika JKFF D0 diberikan clock akan mengeluarkan logika 1 sehingga jika diurutkan dari D3_D2_D1_D0 adalah biner 0001 (1 dalam desimal)selanjutnya keluaran JKFF D0 akan mempengaruhi masukan JKFF D1 sehingga mengeluarkan output 1, dan keluaran JKFF D0 menjadi 0 sehingga jika diurutkan dari D3_D2_D1_D0 adalah biner 0010 (2 dalam desimal) dan begitu selanjutnya untuk biner 0011 (3 dalam desimal) , sedangkan untuk menghidupkan/mengeluarkan bit selanjutnya yaitu keluaran JKFF D2 dibutuhkan gerbang logika "and" untuk mempengaruhi masukan JKFF D2 tetapi syaratnya D1 dan D0 harus 1 maka dari itu menggunakan gerbang "and" D1 berlogika 1 di "and" kan D0 yang berlogika 1 maka akan mengeluarkan output 1 dan dimasukkan kedalam masukan JKFF D2, sehingga saat dilakukan "clock" menghasilkan bit biner D3_D2_D1_D0 menjadi 0100 (3 dalam desimal) begitu seterusnya, sehingga untuk mempengaruhi masukan D3 pun membutuhkan rangkaian gerbang logika D0 and D1 and D2 seperti dalam gambar diatas.

2. Counter Down Sinkron Modul 8 dengan JKFF. Selanjutnya adalah rangkaian counter down sinkron modul 8 dengan JKFF. rangkaiannya dapat dilihat dibawah ini :

Tabel Kebenaran Counter Down Sinkron Modul 8

Counter Down Sinkron Modul 16 dengan JKFF

kesimpulannya adalah rangkaiannya hampir sama dengan counter up sinkron modul 16 dengan JKFF, hanya saja satu JKFF sengaja saya hilangkan sehingga hanya 3 bit data (tanpa dihilangkan juga tidak menjadi masalah), maka menjadi modul 8, dan keluarannya diganti yang tadinya Q dipindah ke pin Qnot atau Q' lalu rangkaian ini akan mengeluarkan bit-bit data yang terbalik dari counter up yaitu akan mengcounter turun dari 7 hingga 0. Setelah kita bahas tentang counter sinkron dengan JKFF, sekarang kita bahas tentang counter Asinkron dengan JKFF.

3. Counter Up Asinkron Modul 16 dengan JKFF. Untuk rangkaian dibawah ini adalah counter up asinkron modul 16 dengan JKFF.

Counter Up Asinkron Modul 16

Counter Up Asinkron Modul 16 dengan JKFF

cara kerja dari rangkaian ini sebagai berikut, ketika JKFF D0 diberikan clock maka JKFF tersebut akan mengeluarkan bit 1 pada pin Q nya, sedangkan lainnya masih berlogika 0 sehingga jika diurutkan dari D3_D2_D1_D0 adalah biner 0001 (1 dalam desimal) selanjutnya jika diberikan clock pada JKFF D0 keluaran pin Qnot/Q' nya akan berubah dari 0 ke 1 mempengaruhi masukan sumber detak atau clock pada JKFF D1 (transisi tinggi) sehingga yang tadinya keluaran Q nya adalah bit 0 menjadi 1, lalu JKFF D0 yang tadinya keluaran Q nya dari bit 1 berubah menjadi 0 sehingga jika diurutkan dari D3_D2_D1_D0 adalah biner 0010 (2 dalam desimal) seterusnya jika JKFF D0 diberikan clock ia akan kembali berlogika 1 tanpa mempengaruhi sumber detak JKFF D1 (karena keluaran pin Qnot dari JKFF berlogika 0) sehingga jika diurutkan dari D3_D2_D1_D0 adalah biner 0011 (3 dalam desimal) begitulah seterusnya pin keluaran Qnot JKFF D0 dan D1 akan mempengaruhi sumber detak pada JKFF D3 dan keluaran Q nya juga berubah jika diurutkan dari D3_D2_D1_D0 adalah biner 0100 (4 dalam desimal) dan seterusnya, sehingga rangkaian ini akan melakukan counter dimulai dari 0 hingga 15 dalam desimal.

4. Counter Down Asinkron Modul 16 dengan JKFF. Untuk counter down asinkron modul 16 dengan JKFF dapat dilihat dibawah ini :

Counter Down Asinkron Modul 16 dengan JKFF

rangkaian dan cara kerja dari rangkaian diatas masih sama dengan rangkaian counter up asinkron modul 16, karena pola keluaran dari Qnot pada masing-masing JKFF adalah kebalikan dari keluaran Q, sehingga keluaran yang digunakan untuk mengeluarkan data adalah Qnot, ia akan melakukan counter secara turun dari angka 15 hingga 0. Kelebihan dari rangkaian asinkron sudah dapat dilihat, yaitu sederhananya rangkaian tanpa menggunakan gerbang logika seperti rangkaian counter sinkron, kelemahan dari rangkaian asinkron ini adalah delay yang akan membuat data tidak pas pada sistem yang sensitif.

5. Counter Modul X Sinkron dengan JKFF. Counter modulo X merupakan suatu counter yang akan melakukan pencacahan hingga bit tertentu sesuai dengan yang diinginkan/dibutuhkan, misalkan apabila kita mempunyai 4 bit counter up sinkron dengan JKFF, berarti counter tersebut adalah modul 16 yang akan mencacah dari 0 hingga15 tetapi apabila kita hanya membutuhkan modul tertentu, misalkan modul 10 yang akan mencacah dari 0 hingga 9, seperti bila kita akan membuat detik dan menit satuan pada jam digital. Kita dapat menggunakan pin masukan "Reset" untuk mengatur supaya ketika pada bit tertentu akan kembali ke bit awal misalkan jika hanya akan mengcounter hingga 9 maka pada bit 1010 atau 10 ia harus kembali ke bit 0000 dan tidak akan menampilkan bit 1010. dibawah ini adalah rangkaian keseluruhan pada counter modulo 10 sinkron menggunakan JKFF.

Tabel Kebenaran Counter Sinkron Modul X (10)

Counter Modul 10 Sinkron dengan JKFF

Rangkaian Logika untuk Reset

rangkaian diatas merupakan rangkaian yang akan membuat counter akan kembali pada bit awal, dari rangkaian diatas apabila outputnya D3_D2_D1_D0 adalah 1010 (10 dalam desimal) dimasukkan, maka gerbang logika paling ujung akan mengeluarkan logika 1 dan dimasukkan kedalam pin masukan Reset pada masing-masing JKFF yang akan membuat masing-masing JKFF mempunyai keluaran 0000 atau kembali ke awal.

6. Counter Up/Down Sinkron dengan JKFF. Selanjutnya kita membahas tentang counter up/down sinkron dengan JKFF, kali ini saya membuat counter up/down sinkron modul 8 terlebih dahulu. jadi rangkaian ini mempunyai bit selector, apa itu bit selector? yaitu suatu masukkan bit yang akan digunakan sebagai pemilih dan pengatur apakah rangkaian akan menjadi counter up atau counter down. jadi didalam rangkaian keseluruhan counter up/down sinkron modul 8 mempunyai tiga sistem rangkaian utama, yaitu rangkaian counter itu sendiri yang terdiri dari JKFF, rangkaian logika pembuat pencacahan naik (gambar dibawah, gerbang and berada diatas JKFF), dan rangkaian logika pembuat pencacahan turun (gambar dibawah ini, gerbang and berada dibawah JKFF).

Tabel Kebenaran Counter Up/Down Sinkron Modul 8

Counter Up/Down Sinkron modul 8 dengan JKFF

Rangkaian Ketika Bit Selector Berlogika 1 atau Up

rangkaian diatas adalah cara sederhananya, apabila bit selector berlogika 1, maka logika tersebut akan diteruskan kedalam gerbang logika "and" yang berada diatas JKFF (lihat gambar diatas) yang akan membuat counter menjadi up atau pencacah naik, sehingga membuat gerbang "and" yang ada dibawah JKFF seolah-olah mengeluarkan logika 0 atau tidak berfungsi.

Rangkaian Saat Bit Selector Berlogika 0 atau Down

sedangkan rangkaian diatas adalah cara sederhana apabila bit selector berlogika 0, maka logika tersebut akan diteruskan kedalam gerbang logika "and" yang ada dibawah JKFF (lihat gambar diatas) yang akan membuat counter menjadi down atau pencacah turun, sehingga membuat gerbang "and" yang ada diatas JKFF seolah-olah mengeluarkan logika 0 atau tidak berfungsi.