FLIP -FLOP€¦ · BAB I TUJUAN 1. Untuk mengetahuipengertian memori, set, reset, terlarang dan...

19
MODUL PRAKTIKUM KE – 3 SISTEMDIGITAL FLIP-FLOP FAKULTAS TEKNIK ELEKTRO UNIVERSITAS MUHAMMADIYAH PROF DR HAMKA

Transcript of FLIP -FLOP€¦ · BAB I TUJUAN 1. Untuk mengetahuipengertian memori, set, reset, terlarang dan...

  • MODUL PRAKTIKUM KE – 3

    SISTEMDIGITAL

    FLIP-FLOP

    FAKULTAS TEKNIK ELEKTRO

    UNIVERSITAS MUHAMMADIYAH PROF DR HAMKA

  • BAB I

    TUJUAN

    1. Untuk mengetahuipengertian memori, set, reset, terlarang dan tidak berubah pada flip-

    flop RS.

    2. Untuk mengetahui berbagai macam jenis flip-flop beserta lambangnya.

    3. Untuk mengetahui perbedaan flip-flop RS menggunakan gerbang NAND dan AND.

    4. Untuk mengetahui aplikasi percobaan dari rangkaian flip-flop.

    BAB II

    LANDASAN TEORI

    Semua rangkaian logika yang telah diuraian di bagian depan adalah rangkaian logika

    kombinasi yang keadaan keluaran nya setiap saat hanya di tentukan oleh kombinasi masukan

    yang di berikan pada saat itu. Setiap system digital akan mempunyai bagian yang merupakan

    rangkaian kombinasi. Di samping itu, dalam system digital juga, pada umum nya di

    pergunakan bagian rangkaian yang dapat mengingat keadaan keluaran nya sebelumnya dan

    keluaran nya untu suatu kombinasi masukan tertentu juga tergantung atas keadaan keluaran

    nya sebelum masukan itu dikenakan. Bagian rangkaian demikian disebut sebagai rangkaian

    berurut(sequential). Rangkaian logika berurut juga pada umumnya memakai rangkaian logika

    kombinasi, setidak-tidaknya pada rangkaian masukan nya.Waktu yang di butuhkan tersebut di

    namakan tundaan waktu (time delay) atau tundaan perambatan ( propagation delay).

    Rangkaian logika berurut di bedakan atas dua jenis, yaitu serempak (synchro nous)

    dan tak serempak (asynchronous). Dalam rangkaian serempak, perubahan keadaan keluaran

    hanya terjadi pada saat-saat yang di tentukan saja. Walaupun masukan berubah diantara

    selang waktu yang di tentukan itu, keluaran daripada rangkaian itu tidak akan berubah.

    Berbeda dari rangkaian yang serempak, keluaran daripada rangkaian tak serempak berubah

    menurut perubahan masukannya dan keluaran itu dapat berubah setiap saat masukan berubah.

    Umumnya rangkaian tak serempak ini memakai unsur tundaan waktu pada lintasan umpan

    baliknya. Tundaan waktu ini biasanya di peroleh dari gerbang-gerbang pada lintasan itu.

    Adanya tundaan waktu itu kadang-kadang membuat rangkaiannya tidak stabil dan rangkaian

    mungkin mengalami kondisi berpacu(race condition) dimana satu perubahan masukan

    menyebabkan lebih dari satu perubahan keluaran. Karena kesulitan ini, dan juga karena

    pemakaiannya tidak lah seluas pemakaian rangkaian serempak, maka rangkaian ta serempak

  • tidak akan di bahas dalam buku ini dan di cadangkan sebagai materi untuk pembahasan

    rangkaian logika lanjutan.

    Unsur pengingat (memory) yang paling umum di pakai pada rangkaian berurut

    serempak adalah flip-flop. Setiap flip-flop dapat menyimpan satu bit (binary digit) informasi,

    baik dalam bentuk sebenarnya maupun bentuk komplemennya. Jadi, flip-flop pada umumnya

    mempunyai dua keluaran, yang satu merupakan komplemen dari yang lainnya. Tergantung

    atas cara bagaimana informasi di simpan ke dalam nya, flip-flop di bedakan atas beberapa

    jenis RS, JK, D dan T. Setiap sinyal yang di lalukan pada suatu komponen eletronika

    membutuhan waktu untuk brgerak dari terminal masukan ke terminal keluaran. Dan karena

    gerbang-gerbang logika pada umumnya dibuat dari komponen-komponen elektronika, maka

    sinyal masukan pada setiap gerbang juga membutuhkan waktu untuk mencapai terminal

    keluaran, muncul nya efek masukan itu di keluarkan. Waktu yang di butuhkan tersebut di

    namakan tundaan waktu (time delay) atau tundaan perambatan ( propagation delay). Semakin

    banyak gerbang yang harus di lalui oleh sinyal untuk bergerak dari masukan ke keluaran suatu

    rangkaian logika, semakin lama pula tundaan waktu yang di alami nya. Sebagai contoh,

    perhatikan lah perambatan sinyal yang melalui suatu inverter (gerbang NOT). Kalau sinyal

    masukan yang semula berkeadaan 0 di ubah menjadi 1, maka sinyal keluaran berubah dari 1

    ke 0. Tetapi perubahan itu tidaklah seketika, melainkan beberapa nano-detik (ns) kemudian

    (untuk gerbang-gerbang rangkaian terpadu, IC). Pada saat masukan naik dari 0 ke 1, keluaran

    turun dari 1 ke 0 setelah € 1detik kemudian dan pada saat masukan turun dari 1 ke 0, keluaran

    naik dari 0 ke 1 setelah € 2 detik kemudian. Pada umum nya €1 =,/ € 2, walaupun dalam

    analisis kedua tundaan ini sering di anggap sama. Andaikan tundaan waktu unsur penunda

    adalah ∂ nanodetik (ns) dan tundaan waktu gerbang AND adalah € ns. Supaya lebih

    sederhana, tundaan waktu ini di anggap sama dengan tundaan waktu turun. Jadi, keluaran

    gerbang AND tertunda naik selama (∂+€) ns dan tertunda turun selama € ns. Pada umumnya,

    tundaan waktu gerbang-gerbang di abaikan (di anggap nol).

    Flip-flop RS atau SR (set-Reset) merupakan dasar dari flip-flop jenis lain. Flip-flop

    ini mempunyai 2 masukan : satu disebut S (SET) yang di pakai untuk menyetel (membuat

    keluaran flip-flop berkeadaan 1) dan yang lain disebut R (RESET) yang di pakai untuk

    mereset (membuat keluaran berkeadaan 0 ). Flip-flop RS dapat di bentuk dari dua gerbang

    NOR atau dua gerbang NAND. Perhatikan bahwa keluaran dari suatu gerbang di umpan-balik

    ke masukan gerbang lainnya. Keluaran masing-masing gerbang membentuk keluaran-

    keluaran dari pada susunan flip-flop RS, untuk flip-flop yang menggunakan gerbang NOR,

    masuakan 1 pada S membuat flip-flop diset ( Q=1 ) dan masukan 1 pada R membuat flip-flop

    direset (Q=0). Untuk flip-flop yang di susun dari gerbang NAND, S=0 menyetel (set) flip-

  • flop dan R=0 mereset flip-flop. Untuk flip-flop dengan NOR, masukan R=S=0 tidak

    mengubah keadaan keluaran, artinya keluaran Q dan Q tetap, ditunjukkan sebagai Q- dan Q- .

    Untuk kombinasi masukan R=S=1, yang ditunjukkan dengan “-“ pada kolom keluaran yang

    bersangkutan, keadaan keluaran tersebut tidak tentu. Ini dapat diterangkan sebagai berikut :

    Andaikanlah untuk R=S=1 keluaran flip-flop adalah Q=1. Untuk Q=1 dan S=1, maka Q = 0.

    Tetapi karena R=1, maka Q juga harus 0 dan ini 0, maka juga Q=0 yang berarti bertentangan

    dengan sifat flip-flop. Karena itu, untuk flip-flop RS kombinasi masukan R=S=1 dilarang

    (tabu). Untuk flip-flop RS dengan NAND, kerjanya saman dengan flip-flop dengan NOR bila

    tegangan masukan rendah dianggap logik 1 dan tegangan masukan tinggi di anggap logik 0,

    artinya bila kita memakai logika negatif. Jadi table kebenaran untuk flip-flop dengan NAND

    dengan logika negative akan tepat sama dengan tabel kebenaran untuk flip-flop dengan NOR.

    Untuk keseragaman uraian, maka yang di pakai untuk menyatakan kerja flip-flop RS adalah

    tabel kebenaran untuk rangkaian NOR. Dalam hal tundaan waktu, karena setiap masukan

    hanya melalui satu gerbang, tundaan waktu flip-flop RS yang disebutkan di atas dianggap

    sama dengan tundaan waktu 1 gerbang yang umumnya dalam besaran nano-detik (10-9

    detik).

    Dalam perencanaan system dengan flip-flop umumnya kita membutuhan keadaan keluaran

    flip-flop itu setelah suatu kombinasi masukan tertentu di kenakan pada masukannya. Keadaan

    keluaran ini biasanya disebut sebagai “keadaan-berikut” (next state) dari flip-flop yang

    bersangkutan dan sering disimbol dengan Q+. Jadi, untuk keadaan-keadaan Q, maka keadaan-

    berikut Q+ daripada flip-flop RS untuk bermacam-macam kombinasi masukan R dan S.

    Dengan membuat peta Karnaugh dengan anggapan keluaran untuk kombinasi masukan yang

    terlarang sebagai abaikan (don’t cares), dengan catatan masukan demikian telah di cegah dari

    luar, maka persamaan keadaan -berikut flip-flop RS diperoleh sebagai :

    Q+= S + R Q ; RS =0

    Persamaan keadaan-berikut ini disebut persamaan karakteristik flip-flop RS. Syarat RS=0

    harus di penuhi untuk menjamin bahwa masukan R dan S tidak akan pernah 1 secara bersama-

    sama. Dengan adanya gerbang AND tersebut, R dan S akab berkeadaan 0 bila pulsa penabuh

    CP (Clock Pulse) berkeadaan 1 dan flip-flop . (Tarigan,Pernantin 2006)

    Flip-flop adalah susunan gerbang logika yang menjaga keluaran tetap stabilwalaupun

    masukan sudah tidak aktif. Keluaran flip-flop ditentukan olehnilai masukan dan juga nilai

    keluaran sebelumnya, sehingga unit logika kombinasionaltidak cukup untuk menangani hal

    ini. Flip-flop dapat digunakanuntuk menyimpan informasi bit tunggal, dan berlaku sebagai

    pembangunmemori komputer.Jika kedua masukan pada gerbang NOR dua masukan bernilai

    1, makakeluarannya akan 0, selain itu keluarannya akan 1. Seperti dibahas padabab

    sebelumnya, waktu yang diperlukan untuk menghasilkan keluaran darimasukan gerbang

  • logika tidaklah seketika tetapi sebesar ∆t yang merupakan waktu perambatan melalui gerbang

    logika. Waktu tunda ini kadang-kadangdimunculkan sebagai rangkaian tunda untuk keperluan

    analisis seperti Gambar4.2. Waktu tunda ini secara normal tidak dimunculkan tetapi tetap

    ada.Waktu perambatan melalui gerbang NOR mempengaruhi operasi flipflop.Perhatikan flip-

    flop set-reset (S-R) pada Gambar 4.3, yang berisi gerbangNOR yang saling silang. Jika kita

    isikan 1 pad S, makaQ akan bernilai 0setelah waktu tunda ∆t , yang menyebabkan Q bernilai

    1 (dianggap R bernilai0) setelah waktu tunda 2∆t . Akibatnya adalah selama penggalan

    waktutertentu ada waktu singkat sebesar ∆t yang Q danQ bernilai 0, yang secaralogis tidak

    dibenarkan, tetapi kondisi ini dapat diperbaiki dengan konfigurasituan-hamba (master-slave)

    yang akan kita bahas nanti. Jika kemudian Sdiisi dengan 0, maka Q tetap, sampai nilai R

    beranjak menjadi 1. Dengandemikian flip-flop S-R dapat menyimpan nilai bit tunggal dan

    dapat berlakusebagai elemen memori paling dasar.Ada banyak cara untuk menyusun

    rangkaian sebuah flip-flop S-R. Penggunaangerbang NOR yang saling silang untuk flip-flop

    S-R adalah hanyasalah satu cara. Dua gerbang NAND yang dihubungkan saling silang juga

    dapatmenghasilkan flip-flop S-R, dengan nilai S = R = 1 mengakibatkan keluarantidak

    berubah. Dengan menggunakan teorema DeMorgan kita dapatmengubah gerbang NOR dalam

    flip-flop S-R menjadi gerbang AND seperti dalam Gambar 4.5. Dengan penggeseran

    gelembung, maka gerbang ANDdapat diubah menjadi gerbang NAND. Penggeseran

    gelembung pada S danR mengakibatkan pertukaran label S dan R.

    (Suyanto, Yohanes2009)

    FLIP FLOP. Gerbang logika hanya mampu mengubah sinyal keluaran sejalan dengan

    sinyal masukan. Bagaimana bentuk ragam gelombang dari perubahan sinyal tersebut

    tergantung pada gerbang logikanya. Prinsip dari rangkaian-rangkaian gerbang logika dasar

    dan kombinasional adalah perubahan keadaan level keluaran tergantung dari keadaan

    masukan, untuk setiap saat dari waktu ke waktu. Jika setiap keadaan masukan berubah maka

    gerbang logika akan berubah keadaannya. Sehingga keluaran juga berubah keadaannya, saat

    itu juga. Jadi rangkaian gerbang logika hanya berfungsi menyiapkan suatu operasi logika dan

    memutuskan suatu operasi logika tersebut, kemudian hasilnya dinyatakan pada keluaran, dan

    selesailah sudah.

    Sinyal akan diolah oleh gerbang logika NOR, sehingga pada bagian keluaran akan

    menjadi sinyal yang ragam gelombangnya dapat kita lihat. Setelah sinyal tersebut berlalu,

    proses akan selesai. Tidak ada peristiwa apapun yang terjadi lagi. Sinyal yang mengalir pada

    bagian masukan tersebut akan dilwatkan begitu saja, tidak ada yang tertinggal sedikitpun.

    Karena rangkaian logika yang telah kita pelajari tidak memiliki bagian atau satuan

    penyimpanan, yang sering disebut dengan memori (memory).

  • maka data dan informasi yang kita kehendaki tidak bias menetap (reside).

    Dengan menggunakan gabungan gerbang-gerbang logika menjadi suatu gerbang

    logika kombinasional, dan kemudian diumpan-balikkan (feedback), kita dapat membangun

    suatu rangkaian logika yang dapat menyimpan data. Rangkaian logika inilah yang kita sebut

    dengan piranti atau rangkain Flip-Flop.

    Sekarang, kita akan mulai mempelajari rangkaian penyimpanan yang akan menahan

    (atau mengingat) data dalam sebuah keadaan digital yaitu 0 dan 1. Sebuah rangkaian

    penyimpan terdiri dari bagian atau unit memori-memori. Bagian memori yang terkecil dan

    dasar yang disebut dengan sel-sel memori atau elemen memori. Sel-sel memori inilah yang

    nantinya akan membentuk suatu rangkaian logika yang dapat menyimpan. Tiap elemen

    mampu menyimpan 1 bit data biner, yang dinyatakan dalam system biner yaitu 0 atau 1. Tiap

    elemen terdiri dari sebuah rangkaian logika yang berupa Flip-Flop. Flip-flop adalah elemen

    terkecil yang dapat menyimpan data sebesar 1 bit, yaitu 1 atau 0.

    Flip-Flop merupakan piranti yang memiliki dua keadaan stabil. Piranti ini akan tetap

    bertahan pada salah satu dari dua keadaan itu sampai ada pemicu yang membuatnya berganti

    keadaan. Dalam bab ini akan dibahas secara mendalam, mulai dari flip-flop yang paling

    sederhana sampai yang rumit (kompleks).

    Suatu bagian memori yang sesungguhnya seperti yang dipakai pada computer pribadi

    (Personal Computer atau PC) terdiri dari beberapa sampai ribuan bahkan lebih rangkaian

    logika Flip-Flop. Bukan hanya itu saja, bagian penyimpan tesebut dilengkapi dengan

    pengalaman (Addressing), sinyal kendali (Control Signal) dan sinyal pendetak atau denyut

    (Clock Signal). Semuanya itu dikemas dalam suatu chip IC. Hal itu kelak juga akan kita bahas

    lebih lanjut.

    Lambang dan Notasi. Nantinya berbagai jenis flip-flop akan kita bahas, masing-

    masing jenis flip-flop mempunyai lambing tersendiri, yaitu sebuah kotak dengan garis di

    depan berupa masukan (input) dan garis di belakang keluaran (output). Sedangkan kotak itu

    sendiri berisi rangkain flip-flop.

    Menunjukkan dua keluaran, huruf Q dan Ǭ ( Q inverter, yang selalu terbalik terhadap

    Q). Sebenernya, tiap huruf dapat digunakan tetapi huruf Q yang paling sering digunakan.

    Dalam keadaan normal, keluaran Q disebut keluaran Flip-Flop yang dalam keadaan normal,

    dan Ǭ adalah kebalikan (inverted) dari keluaran FF. Sewaktu-waktu kita akan menggunakan,

    kita mengacu pada keadaan normal sebuah Flip-Flop yaitu Q, bukannya Ǭ.

    Antara Multivibrator (MV) dan Flip-Flop (FF)Piranti Flip-Flop sering juga disebut dengan

    Pembangkit-getar Jamak Dua-keadaan atau Multivibrator Bistabil. Istilah ini digunakan untuk

    jenis tertentu dari flip-flop yang akan kita uraikan. Istilah Multivibrator bistabil biasanya

  • diungkapkan dalam membahas prinsip kerja ranngkaian dasar, ungkapan ini memang lebih

    bersifat teknis. Sedangkan isitlah Flip-Flop digunakan jika kita membicarakan secara umum

    dan keseluruhan, dan ungkapan ini lebih praktis dan akrab (familiar) sebab kata flip-flop

    indetik dengan lampu yang menyala berkedap-kedip, hidup-padam-hidup-padam, secara

    bergantian. Sesungguhnya Multivibrator dan Flip-Flop memiliki prinsip kerja yang sama.

    Flip-Flop RS (Reset Set Flip-Flop)

    Pertama –tama akan diperkenalkan flip-flop yang paling sederhana dan dasar, yaitu

    Penahan RS (RS Latch).Flip-flop SR disebut juga Penahan Transparan (Transparent Latches),

    karena keluaran flip-flop langsung menyebabkan terjadinya perubahan terhadap masukannya.

    Perubahan yang cepat disebabkan karena flip-flop SR langsung menanggapi perubahan sinyal

    pada bagian masukan sehingga keluaran Q akan langsung berubah sejalan dengan perubahan

    masukan. Keadaan sinyal sehingga keluaran Q akan langsung berubah sejalan dengan

    perubahan masukan. Keadaan sinyal masukan akan diingat dengan cara menahan sinyal

    masukannya ke dalam rangkaian logikanya.Penahan RS atau SR, dapat dinyatakan atau

    diberlakukan (diimplementasikan) ke dalam rangkain gerbang-gerbang logika kombinasional

    yang diumpan balik. Adapun rangkaiannya menggunakan gerbang logika kombinasional

    NOR, sehingga disebut Penahan NOR.Waktu yang di butuhkan tersebut di namakan tundaan

    waktu (time delay) atau tundaan perambatan ( propagation delay).

    Prinsip kerja dari penahan NOR adalah sebagai berikut :

    1. Pengujian Rangkaian

    Apabila S dalam keadaan 0 (rendah) maka keluaran Q akan rendah. Walaupun R

    diubah-ubah keadaaannya (0 atau 1), keluaran Q tetap 0.

    2. Keadaan SET (keadaan menyalakan, megaktifkan, atau menyetel flip-flop)

    Apabila S dalam keadaan 1 (tinggi) maka keluaran Q akan 1 (tinggi). Dan S hanya

    sekali saja memberikan pulsa, dari keadaan 0 menjadi 1. Sesudah itu jika keadaan S

    berubah-ubah (0 atau 1), keluaran Q akan tetap 1.

    Flip-flop dengan penahan NOR dibangun dengan menggunakan rangkaian terpadu

    (IC). Flip-flip yang dibangun dengan menggunakan gerbang logika NOR dinamakan penahan

    NOR. Penahan NOR dapat dinyatakn kembali dengan teorema De Morgan, sehingga kita

    dapatkan rangkaian penahan yang lain tetapi fungsinya sama.

    Masukan R dalam keadaan 0 (rendah) dan S dalam keadaan 1 (tinggi) memberikan keadaan

    SET. Sedangkan apabila R tinggi dan S rendah maka keadaan akan menjadi RESET. Lainnya

    lagi, bila SET dalam keadaan 1 dan RESET juga dalam keadaan 1 (tinggi), maka akan terjadi

    keadaan pacu. Oleh karena itu, kita harus menghindari keadaan R dan S dalam keadaan 1

    (tinggi). (Widjanarka, 2006)

  • BAB III

    METODOLOGI PERCOBAAN

    1.1 Peralatan Dan Komponen

    3.1.1. Peralatan

    1. Power supply 5 volt DC (1 buah)

    Berfungsi : Sebagai Sumber tegangan DC

    2. Jumper (secukupnya)

    Berfungsi : Sebagai alat penghubung komponen satu ke komponen lain

    3. Jepit buaya (4 buah)

    Berfungsi : Sebagai penghubung komponen dengan alat

    4. Saklar (3 buah)

    Berfungsi : Sebagai Pengatur keluaran

    5. Protoboard (1 buah)

    Berfungsi : Sebagai tempat rangkain sementra

    3.1.2. Komponen

    1. IC 7400 (1 buah)

    Berfungsi sebagai gerbang logika dasar NAND.

    2. Resistor 330Ω (2 Buah)

    Befungsi sebagai penghamabat aliran arus listrik.

    3. LED (2buah)

    Berfungsi sebagai induktor high dan low.

    1.2 Prosedur Percobaan

    3.2.1.Untuk rangkaian flip-lop RS

    1. disiapkan semua peralatan dan komponen yang akan digunakan.

    2. rangkai komponen seperti skematik rangkaian dibawah ini.

    3. Dihubungkan kaki 1 dengan saklar 1 sebagaia masukan R dan kaki 4 dengan saklar ke 2 sebagaia masukan S.

    4. dihubungkan kaki 2 (masukan) dengan kaki 6 (keluaran)

    A

    B

    Q

    Q’

  • 5. dihubungkan kaki 5 (asukan) dengan kaki 3 (keluaran).

    6. kaki 6 dihubungkan dengan LED 2 sebagai keluaran Q’ dan kaki 3 dengan LED 1

    sebagai keluaran Q.

    7. kaki 7 sebagai ground dan dihubungkan dengan (-) PSA.

    8. kaki 14 sebagai Vcc dan dihubungkan ke (+) PSA.

    9. dihidupkan PSA %V.

    10. divariasikan masukan RS pada rangkaian mulai dari 00,01,10,11.

    11. diamati dan dicatat keluaran melalui LED.

    12. Dimatikan PSA 5V.

    3.2.2. Untuk Flip-Flop Clocked RS

    1. dirangkai komponen seperti skematik rangkaian dibawah ini:

    2. Di hubungkan kaki 2 IC708 dengan kaki 4, dan buat sebagai masukan CLK, kaki

    sebagai masukan R, dan kaki 2 sebagaia masukan R, dan kaki 5 sebagai masukanS

    3. kaki 3 (keluaran IC 7408) dihubungkan kaki 1 (masukan IC7400).

    4. kaki 6 (keluaran IC 7408) dihubunkan dengan kaki 6 (keluaran IC7400)

    5. kaki 2 (masukan IC7408) dihubungkan dengan kaki 6 (keluaran IC7400)

    6. kaki 5 (masukan IC7408) dihubungkan dengan kaki 3 (keluaran n IC7400)

    7. kemudian kaki 3 dihubungkan dengan LED 1 sebagai keluaran Q dan kaki 6

    dengan LED 2 sebagai keluaran Q’.

    8. kaki 7 sebaga ground dan dihuungkan dengan (-) PSA.

    9. kaki 14 sebagai Vcc dan dihubungkan ke (+) PSA.

    10. Dihidupkan PSA.

    11. Diset clocked menjadi 0 dan diariasikan masukan RS pada rangkaian mulai dari

    00,01,10,11.

    12. diamatai dan dicata keluaran melalui LED.

    13. Diset clocked menjadi 1 dan divariasikan masukan RS pada rangkaian mulai dari

    00,01,10,11.

    14. Diamati dan dicata keluaran melalui LED.

    15. Diamati PSA 5 V.

    S

    S

    Q

    Q’ CK

  • BAB IV

    DATA DAN ANALISA DATA

    4.1 Data Percobaan

    A. Tabel Rangkaian Flip-Flop RS tanpa Clocked

    MASUKAN KELUARAN KETERANGAN

    R S Q Q’

    0 0 1 1 TERLARANG

    0 1 1 0 SET

    1 0 0 1 RESET

    1 1 0 1 MEMORI

    B. Tabel Rangkaian Flip-Flop RS dengan Clocked

    MASUKAN KELUARAN KETERANGAN

    S R C Q Q’

    0 0 0 1 1 TERLARANG

    0 1 0 1 1 TERLARANG

    1 0 0 1 1 TERLARANG

    1 1 0 1 1 TERLARANG

    0 0 1 1 1 TERLARANG

    0 1 1 1 0 SET

    1 0 1 0 1 RESET

    1 1 1 0 1 MEMORI

    Medan, 10 Maret 2015

    Asisten, Praktikan

    (Ilham syurryadi Harahap) (Abdul Halim)

  • 4.1 Analisa Data

    1. Buatlah timing diagram untuk rangkaian yang dicobakan.

    Jawab :

    a. Rangkaian flip-flop RS tanpa clocked

    Masukan : SR

    Keluaran : Q

    R

    S

    Q

    Q’

    b. Rangkaian flip-flop RS dengan clocked

    Masukan : C, S, R

    Keluaran : Q

    R

    S

    Q

    Q’

    CP

    2. Buatlah karakteristik tiap rangkaian yang dicobakan berdasarkan data yang diperoleh.

    Jawab :

    a. Karakteristik dari Flip – flop RS adalah flip – flop RS mempunyai dua masukan

    yang diberi tabel S dan R yang mana S berasal dari kata set sedangkan R adalah

    Reset dan juga dua untuk bagian keluaran yakni Q dan juga Q’ yang mana Q

  • adalah keluaran normal sedangkan Q’ adalah keluaran komplementer. Pada flip –

    flop untuk keluaran selalu berlawanan yakni jika Q = 1 maka Q’ = 0 demikian

    sebaliknya ketika Q = 1 dan Q’ = 0 kondisi set,dan sebaliknya Q = O dan Q’ = 1

    kondisi reset, namun ketika Q dan Q’ bernilai 1 keadaan ini disebut keadaan

    terlarang dengan Q’ = S + RQ dimana RS=0 yang dijelaskan pada tabel berikut :

    Q Q’ R S

    0 0 X 0

    0 1 0 1

    1 0 1 0

    1 1 0 X

    Ket: X dapat bernilai 1 maupun 0

    b. Karakteristik rangkaian RS-C, kondisi pada RS tetap berlaku namun dengan

    adanya faktor Ck yang jika dalam kondisi Ck=0 kondisi Q maupun Q’ tetap

    bernilai 1 (tidak mengalami perubahan) dengan kata lain ketika C bernilai satu (1)

    kondisi rangkaian kembali seperti rangkaian RS.

    3. Sebutkan aplikasi dari flip-flop dan jelaskan.

    Jawab :

    a. Mesin Sekuensial Elektronis. Banyak untai sekuensial yang merupakan realisasi

    secara elektronis dari mesin sekuensial.Beberapa diantaranya seperti sistem

    switching telepon, elevator automatis, sistem kontrol lampu lalu lintas dan untai-

    untai elektronis dalam kalkulator.Sebuah mesin sekuensial elektronis adalah

    sebuah peralatan yang mampu menampilkan sekumpulan karakteristik

    berurutan.Untai ini merealisasikan urutan sekuensial yang diperlukan dan

    diberikan. Karena keadaan berikutnya dan keluaran dari sebuah mesin sekuensial

    tergantung pada keberadaan masa lalu dari mesin, maka mesin sekuensial

    elektronis harus menggunakan peralatan yang mempunyai kemampuan untuk

    “mengingat” tingkah laku sebelumnya dari mesin. Oleh karena itu digunakanlah

    rangkaian R-S flip-flop yang merupakan bagian dasar dari rangkaian pengingat.

  • b. Rangkaian Shift Register. Register merupakan sekelompok flip-flop yang dapat

    menyimpan informasi biner yang terdiri dari bit majemuk. Register dengan n flip-

    flop mampu menyimpan sebesar n bit.

    c. Rangkaian Counter yang dipasang padajam digital yang terdiri dari penampil BCD

    dan dilengkapi dengan Ripple counter yang terdiri dari flipflop pembagi frekuensi,

    penghitung naik, penghitung turun dan Modulus.

    d. Digunakan pada Mikrokontroler, mikroprosesor dan komputer untuk menyimpan

    data(memori).

    e. Lampu Lalu Lintas. Di dalam hal ini lampu lalu lintas menggunakan prinsip kerja

    fliop – flop yang di dalamnya juga terdapat suatu alat pengontrol yang dapat

    mempengaruhi cara kerja si rangkaian flip – flop pada lampu lalu lintas yang

    menghasilkan atau mengoptimalkan kerja lampu lalu lintas. Biasanya IC Timer 555

    yang membangun sistem kerja flip – flop pada pengontrolan lampu lalu lintas.

  • 4.3 Gambar Percobaan

    A. Flip-flop RS tanpa Clocked

    5

    13

    67

    3 121

    412

    4

    89

    10

    11

    IC 7

    400S

    RVcc

    LED 1

    LED 2

    R1 = 330 Ω

    R1 = 330 Ω

    Saklar 1

    Saklar 2

    Powe

    rfuse

    On

    off

    PSA

  • B. Flip-flop RS dengan clocked

    5

    13

    67

    3 12141

    24

    89

    10

    11

    IC 7

    400S

    RVcc

    5

    13

    67

    3 121

    412

    4

    89

    10

    11

    IC 7

    408

    Cp

    LED 2

    LED 1R1 =

    330 Ω

    R2 =

    330 Ω

    Powerfuse

    On

    off

    PSA

  • BAB V

    KESIMPULAN DAN SARAN

    5.1 Kesimpulan

    1. a. Kondisi memori terjadi apabila keluaran Q akan mengingat data masukan

    sebelumnya (S dan R). Dengan kata lain, keluaran tidak berubah dari kondisi

    masukan.

    b. Kondisi set merupakan keadaan ketika masukan nilai sebelumnya

    menyebabkan

    keluarannya bernilai 1

    c. Kondisi reset merupakan keadaan ketika masukan nilai sebelumnya

    menyebabkan keluarannya bernilai 0

    d. Kondisi terlarang merupakan keadaan dimana keluaran Q tidak dapat

    Diramalkan menghasilkan apa dikarenakan masukan S dan R sama-sama

    bernilai 1.

    2. Jenis-jenis flip-flop.

    a. Flip-flop RS

    RS Flip-Flop yaitu rangkaian Flip-Flop yang

    mempunyai 2 jalan keluar Q dan ̅Simbol-

    simbol yang ada pada jalan keluar selalu

    berlawanan satu dengan yang lain. RS-FF

    adalah flip-flop dasar yang memiliki dua

    masukan yaitu R (Reset) dan S (Set). Bila S diberi logika 1 dan R diberi logika 0, maka output Q akan

    berada pada logika 0 dan ̅pada logika 1. Bila R diberi logika 1 dan S diberi logika 0 maka keadaan

    output akan berubah menjadi Q berada pada logik 1 dan ̅pada logika 0.

    b. Flip-flop CRS

    CRS Flip-flop adalah clocked RS-FF yang

    dilengkapi dengan sebuah terminal pulsa clock.

    Pulsa clock ini berfungsi mengatur keadaan Set

    dan Reset. Bila pulsa clock berlogika0, maka

    perubahan logik pada input R dan S tidak akan

    mengakibatkan perubahan pada output Q dan Qnot. Akan tetapi apabila

    pulsa clock berlogik 1, maka perubahan pada input R dan S dapat mengakibatkan perubahan

    pada output Q dan Q not.

  • c. Flip-flop D

    D flip-flop adalah RS flip-flop yang ditambah dengan suatu

    inventer pada reset inputnya. Sifat dari D flip-flop adalah

    bila input D (Data) dan pulsa clock berlogik 1, maka output

    Q akan berlogik 1 dan bilamana input D berlogik 0, maka

    D flip-flop akan berada pada keadaan reset atau output Q berlogika 0.

    d. J-K Flip-Flop

    JK flip-flop sering disebut dengan JK FF induk

    hamba atau Master Slave JK FF karena terdiri dari

    dua buah flip-flop, yaitu Master FF dan Slave FF.

    Master Slave JK FF ini memiliki 3 buah terminal

    input yaitu J, K dan Clock.Sedangkan IC yang

    dipakai untuk menyusun JK FF adalah tipe 7473

    yang mempunyai 2 buah JK flip-flop dimana layoutnya dapat dilihat pada Vodemaccum IC (Data

    bookc IC). Kelebihan JK FF terhadap FF sebelumnya yaitu JK FF tidak mempunyai kondisi terlarang

    artinya berapapun input yang diberikan asal ada clock maka akan terjadi perubahan pada output.

    e. Flip-Flop T

    Keluaran T-FF merupakan fungsi XOR dari masukan T dan keadaan keluaran sebelumnya.

    CP

    Q

    Q’

    Q

    Q’

    set

    clr

    TT

    3. Perbedaan gerbang AND dan NAND

    AND hanya akan bernilai 1 bila semuamasukan bernilai 0. Sedangkan gerbang NAND

    hanya akan bernilai 0 apabila semua masukan bernilai 1.

    In 1 In 2 NOR NAND

    1 1 0 0

    1 0 0 1

    0 1 0 1

    0 0 1 1

  • 4. Aplikasi dari flip-flop :

    a. Mesin Sekuensial Elektronis, digunakan pada sistem switching telepon, elevator automatis,

    sistem kontrol lampu lalu lintas dan untai-untai elektronis dalam kalkulator. Yang

    menggunakan rangkaian R-S flip-flop yang merupakan bagian dasar dari rangkaian

    pengingat.

    b. Rangkaian Shift Register. Register merupakan sekelompok flip-flop yang dapat

    menyimpan informasi biner.

    c. Rangkaian Counter yang dipasang padajam digital yang menggunakan flipflop

    untuk pembagi frekuensi, penghitung naik, penghitung turun dan Modulus. Selain

    itu counter juga digunakan pada alat pengukur jarak dan pengukur kecepatan.

    d. Digunakan pada Mikrokontroler, mikroprosesor dan komputer untuk menyimpan

    (memori) data.

    5.2 Saran

    1. Sebaiknya parktikan selanjutnya memahami tentang IC 7400 dan IC 7408

    2. Sebaiknya praktikan selanjutnya memahami prinsip kerja flip-flop terutama flip – flop

    RS.

    3. Sebaiknya praktikan selanjutnya sudah memahami cara merangkai rangkaian listrik.

  • DAFTAR PUSTAKA

    Balch, Mark.2003. COMPLETEDIGITAL DESIGN. McGraw Hill. New York.

    Halaman : 18-19

    Suryanto,Y,.2009.Perancangan Sistem Digital. Erlangga. Jakarta

    Halaman: 43, 93-94

    Tarigan,Pernantin.2006. BUKU AJAR ELEKTRONIK TEKNIK DIGITAL.Usu Pres.

    Medan.

    Halaman 95-100

    Halaman : 127-130

    Widjanarka, W.2006.TEKNIK DIGITAL. Erlangga. Jakarta

    Halaman : 87-89

    hal1-flip-flop.pdfmodul-Flip-Flop-3.pdf