03_Detektor BCD

22

Click here to load reader

Transcript of 03_Detektor BCD

Page 1: 03_Detektor BCD

LAPORAN 03

PRAKTIKUM PERANCANGAN SISTEM ELEKTRONIKA

DETEKTOR BCD

Disusun oleh :

ABDUL HARIS HERYANI

06502241010

JURUSAN PENDIDIKAN TEKNIK ELEKTRONIKA

FAKULTAS TEKNIK

UNIVERSITAS NEGERI YOGYAKARTA

2009

Page 2: 03_Detektor BCD

A. Kompetensi

Setelah selesai praktikum mahasiswa dapat :

Membuat detektor BCD

B. Sub Kompetensi

Setelah selesai praktikum mahasiswa dapat:

1. Merancang rangkaian sekuensial mode clock

2. Membuat detector BCD

C. Dasar Teori Diagram Umum Rangkaian Sekuensial

x = masukan

mi = masukan memori

mo = keluaran memori

Z = keluaran

CLK = clock

Prosedur perancangan :

1. Urutan langkah langkah dalam proses analisis adalah

2. Urutan langkah langkah dalam proses sintesis;

RangkaianPersama

an masukan

elemen

memori

Persamaan Keadaan

berikutnya

Tabel transisi Diagram keadaan

Gb.2 Diagram Proses Analisis

Gb.1 Diagram Sekuensial

X Z

CLK

Rangkaian kombinatorial

Ragkaian memori

mimo

Gb.3 Diagram Proses Sintesis

Tabel. Keadaan

Diskripsi Fungsi

Pers.masukan elemen memori

Tabel keadaan minimal

Tabel Transisi Rangkaian

State assignment

1 2 3

Diag. Keadaan

4

5

Page 3: 03_Detektor BCD

D. Alat/Instrumen

E. Langkah Kerja

Merancang detektor BCD dengan langkah sebagai berikut:

1. Mendiskripsikan fungsi detektor BCD:

Rangkaian detektor BCD dapat mendeteksi kode BCD yang dimasukan lewat X

secara berturutan dalam 4 kali pereode clock. Output Z akan berlevel 0 bila yang

dimasukan termasuk kode BCD, dan akan berlevel 1 bila yang dimasukkan bukan kode

BCD

2. Membuat diagram keadaan

A, B, C, ... L, N, dan

O adalah state

X /ZП

X = masukan ( 0 / 1)

= pulsa clockП

Z = output

3. Ubah diagaram keadaan dalam bentuk tabel keadaan

KeadaanAwal Qt

Keadaan Berikut Qt+1, ZX=0 X=1

A B , 0 I , 0B C , 0 F , 0C D , 0 E , 0D A , 0 A , 0E A , 0 A , 0F G , 0 H , 0G A , 0 A , 0H A , 0 A , 0I J , 0 M , 0J K , 0 L , 0K A , 0 A , 0L A , 1 A , 1M A , 0 O , 0N A , 1 A , 1O A , 1 A , 1

Gb.4 Diagram Keadaan

0 /0

J1 /00 /0

M1 /00 /0

0 /0I

1 /0

C1 /00 /0

F1 /00 /0

B1 /0

A1 /00 /0

D0,1 /0

E0,1 /0

G 0,1 /0

H0,1 /0

K0,1 /0

L0,1 /1

N 0,1 /1

O0,1 /1

Transistor 3 buah

Lampu Indikator

Decoded 7 segment

Resistor 220 Ohm 1.buah

Catu Daya DC 5V

Saklar secukupnya

IC 7447 3 buah

Pembangkit pulsa

Page 4: 03_Detektor BCD

4. Mereduksi keadaan dari dari tabel -1 dengan cara ” berawal dari keadaan yang berbeda

menghasilkan keadaan berikut yang sama berati keadaan awal itu identik ”

KeadaanAwal Qt

Keadaan Berikut Qt+1, ZX = 0 X = 1

A B , 0 I , 0B C (CF), 0 F (CF), 0

C (CF) D (DEGHK), 0 E (DEGHK), 0D (DEGHK) A , 0 A , 0

E A , 0 A , 0F G (DEGHK), 0 H (DEGHK), 0G A , 0 A , 0H A , 0 A , 0I J , 0 M , 0J K (DEGHK), 0 L (LNO), 0K A , 0 A , 0

L (L N O) A , 1 A , 1M O (LNO), 0 O (LNO), 0N A , 1 A , 1O A , 1 A , 1

5. Menentukan tabel keadaan minimal

Tabel 3. Tabel Keadaan Tereduksi

6. Membuat diagram keadan minimal

KeadaanAwal Qt

Keadaan Berikut Qt+1, Z

X = 0 X = 1A B , 0 I , 0B CF, 0 CF, 0

CF DEGHK, 0 DEGHK, 0DEGHK A , 0 A , 0

I J , 0 M , 0J DEGHK, 0 LNO, 0

LNO A , 1 A , 1M LNO, 0 LNO, 0

Gb.5 Diagram Keadaan Minimal

x/1

A

CF

LNO

x/0

0/0B

DEGHK

I

J M

1/0

x/0

0/0

x/00/0

1/0

1/0

x/0

Page 5: 03_Detektor BCD

7. Menentukan jumlah FF, dan persamaan masing-masing masukan, serta persamaan

ouput Z.

8. Implementasikan formula dalam bentuk rangkaian

9. Amati tampilan pada seven segmen dan LED

10. Juga amati hubungan input, memori, dan output, dengan Logik analyser bila di beri

masukkan Word Generator

F. ANALISA DATA

Merancang detektor BCD dengan langkah sebagai berikut:

1. Mendiskripsi fungsi detektor BCD:

Rangkaian detektor BCD dapat mendeteksi kode BCD yang dimasukan lewat X secara

berturutan dalam 4 kali pereode clock.

Output Z akan berlevel 0 bila yang dimasukan termasuk kode BCD,

Output Z akan berlevel 1 bila yang dimasukkan bukan kode BCD.

2. Membuat diagram keadaan

3. Mengubah diagram keadaan dalam bentuk tabel keadaan, kemudian mereduksi

keadaan dari dari tabel -1 dengan cara ” berawal dari keadaan yang berbeda

menghasilkan keadaan berikut yang sama berati keadaan awal itu identik ”

Tabel keadaan Reduksi Tabel Keadaan

Page 6: 03_Detektor BCD

4. Menentukan tabel keadaan minimal, kemudian membuat diagram keadaan minimal

Tabel keadaan minimal Diagram keadaan minimal

5. Membuat tabel transisi

Tabel transisi

KeadaanAwal Qt

Keadaan Berikut Qt+1, Z

X = 0 X = 1A B , 0 I , 0B CF, 0 CF, 0

CF DEGHK, 0 DEGHK, 0DEGHK A , 0 A , 0

I J , 0 M , 0J DEGHK, 0 LNO, 0

LNO A , 1 A , 1M LNO, 0 LNO, 0

KeadaanAwal Qt

Keadaan

Qt+1, Z

Awal Qt Qt+1,Z (X = 0)

Qt+1,Z (X = 1)

X = 0 X = 1 Q2 Q1 Q0 D2 D1 D0 Z D2 D1 D0 ZA B, 0 I , 0 0 0 0 0 0 1 0 1 0 0 0B C, 0 C, 0 0 0 1 0 1 1 0 0 1 1 0C D, 0 D, 0 0 1 1 0 1 0 0 0 1 0 0D A , 0 A , 0 0 1 0 0 0 0 0 0 0 0 0I J , 0 M , 0 1 0 0 1 0 1 0 1 1 0 0J D, 0 L, 0 1 0 1 0 1 0 0 1 1 1 0L A, 1 A , 1 1 1 1 0 0 0 1 0 0 0 1M L, 0 L, 0 1 1 0 1 1 1 0 1 1 1 0

Page 7: 03_Detektor BCD

6. Menentukan jumlah FF, dan persamaan masing-masing masukan, serta persamaan

ouput Z.

D2 Q1 Q0XQ2 00 01 11 10

00 0 0 0 001 1 0 0 111 1 1 0 110 1 0 0 0

D2 = Q2Q0 + XQ1Q0 + XQ2Q1 D1 Q1 Q0XQ2 00 01 11 10

00 0 1 1 001 0 1 0 111 1 1 0 110 0 1 1 0

D1 = XQ2Q0 +XQ2Q1 + Q1Q0 +Q2Q0 + Q2Q1Q0

D0 Q1 Q0XQ2 00 01 11 10

00 1 1 0 001 1 0 0 111 0 1 0 110 0 1 0 0

D0 = XQ1Q0 + XQ2Q1 + XQ1Q0 + Q2Q1Q0

Z Q1 Q0XQ2 00 01 11 10

00 0 0 0 001 0 0 1 011 0 0 1 010 0 0 0 0

Z = Q2Q1Q0

Dari hasil penghitungan menggunakan peta karnaugh, maka dihasilkan formula

masukkan untuk masing-masing flip-flop adalah sebagai berikut:

D2 = Q2Q0 + XQ1Q0 + XQ2Q1 D1 = XQ2Q0 +XQ2Q1 + Q1Q0 +Q2Q0 + Q2Q1Q0D0 = XQ1Q0 + XQ2Q1 + XQ1Q0 + Q2Q1Q0Z = Q2Q1Q0

7. Mengimplementasikan formula dalam bentuk rangkaian

Dengan formula masukkan yang didapatkan untuk flip-flop :

a. D2 terdapat 1 buah gerbang OR dengan 3 input dari output 3 buah gerbang AND

b. D1 terdapat 1 buah gerbang OR dengan 5 input dari output 5 buah gerbang AND

c. D0 terdapat 1 buah gerbang OR dengan 4 input dari output 4 buah gerbang AND

d. Z terdapat 1 buah gerbang AND dengan 3 input dari output Q2, Q1 dan Q0

Terdapat X sebagai input, terdapat Z sebagai output ( Z akan nyala (berlogika 1)

sebagai indikasi bahwa detektor BCD bekerja, yaitu ketika lampu nyala berrati sudah

bukan BCD lagi). Kemudian C sebagai Clock yang berfungsi untuk mengeksekusi.

Rangkaiannya adalah sebagai berikut:

Page 8: 03_Detektor BCD

8. Mengamati tampilan pada seven segmen dan LED

Kondisi A, dengan :

C = 1

X = 0

Z = 0

Q2 = 0

Q1 = 0

Q0 = 0

Page 9: 03_Detektor BCD

Kondisi B, dengan :

C = 1

X = 0

Z = 0

Q2 = 0

Q1 = 0

Q0 = 1

Kondisi C, dengan :

C = 1

X = 0

Z = 0

Q2 = 0

Q1 = 1

Q0 = 1

Kondisi D, dengan :

C = 1

Page 10: 03_Detektor BCD

X = 0

Z = 0

Q2 = 0

Q1 = 1

Q0 = 1

Kembali pada kondisi A, dengan :

C = 1

X = 0

Z = 0

Q2 = 0

Q1 = 0

Q0 = 0

Kondisi I, dengan

C = 1

Page 11: 03_Detektor BCD

X = 1

Z = 0

Q2 = 1

Q1 = 0

Q0 = 0

Kondisi M, dengan

C = 1

X = 1

Z = 0

Q2 = 1

Q1 = 1

Q0 = 0

Kondisi L, dengan

C = 1

Page 12: 03_Detektor BCD

X = 0

Z = 0

Q2 = 0

Q1 = 0

Q0 = 0

Kembali ke kondisi L,dengan :

C = 1

X = 1

Z = 0

Q2 = 0

Q1 = 0

Q0 = 0

Kondisi I, dengan

Page 13: 03_Detektor BCD

C = 1

X = 1

Z = 0

Q2 = 1

Q1 = 0

Q0 = 0

Kondisi J, dengan :

C = 1

X = 1

Z = 0

Q2 = 1

Q1 = 0

Q0 = 0

Kondisi D, dengan :

C = 1

Page 14: 03_Detektor BCD

X = 0

Z = 0

Q2 = 0

Q1 = 1

Q0 = 1

Kembali pada kondisi A, dengan :

C = 1

X = 0

Z = 0

Q2 = 0

Q1 = 0

Q0 = 0

Kondisi I, dengan

C = 1

X = 1

Page 15: 03_Detektor BCD

Z = 0

Q2 = 1

Q1 = 0

Q0 = 0

Kondisi J, dengan :

C = 1

X = 1

Z = 0

Q2 = 1

Q1 = 0

Q0 = 0

Kondisi L, dengan

C = 1

Page 16: 03_Detektor BCD

X = 0

Z = 0

Q2 = 0

Q1 = 0

Q0 = 0

Kembali ke kondisi L,dengan :

C = 1

X = 1

Z = 0

Q2 = 0

Q1 = 0

Q0 = 0

Didapatkan dua cara agar detektor BCD dapat mengindikasikan yang non-BCD :

a. Dengan X = 1, clock sebanyak 3 kali (nilai terbaca 0,4,6) untuk clock selanjutnya

output (Z) berlogika 1 (nilai terbaca 7 ) mengindikasikan detektor BCD mendeteksi

non –BCD.

Page 17: 03_Detektor BCD

b. Dengan X = 1, clock sebanyak 2 kali kemudian menginstruksikan X = 0, clock

sebanyak sekali (nilai terbaca 0, 4, 5) kemudian menginstruksikan kembali X = 1,

maka output (Z) berlogika 1 (nilai terbaca 7 ) mengindikasikan detektor BCD

mendeteksi non –BCD.

9. Mengamati hubungan input, memori, dan output, dengan Logik analyser bila di beri

masukkan Word Generator.

Sesuai masukan dari word generator maka terlihat pada red indikator, seven segment

dan logic analyzer seperti berikut:

00000001

00000001

00000001

00000001

00100001

0010

0001

00100001

00100001

0010

Page 18: 03_Detektor BCD

0001

00000001

00000001

0000

0001

00100001

00000001

0010

0001

00100001

00000000

0000

Dari tampilan yang ada bisa disimpulkan bahwa rangkaian yang dibuat merupakan

rangkaian detektor BCD, dimana ketika ada bilangan non-BCD output Z akan berlogika

1 dan sebaliknya ketika ada bilangan BCD, output Z akan berlogika 0. Tampilan yang

dihasilkan dengan pengaturan clock dan input X sesuai dengan diagram keadaan

minimal.

G. KESIMPULAN

1. Rangkaian detektor BCD merupakan rangkaian filter (penyaring dari input X), dimana

output Z akan berlogika (level) = 0 apabila kode yang dimasukkan merupakan kode

BCD, dan output Z akan berlogika (level) = 1 apabila kode yang dimasukkan bukan

merupakan kode BCD.

2. Pada implementasinya, rangkaian detektor BCD dapat dibangun dari 4 buah Flip –

Flop dan beberapa gerbang logika yang dikombinasikan berdasarkan data

perencanaan (penyederhanaan).

3. Langkah-langkah melakukan perancangan rangkaian sekuensial mode clock adalah

sebagai berikut:

a. Mendiskripsikan fungsi detektor BCD

b. Membuat diagram keadaan

Page 19: 03_Detektor BCD

c. Tabel keadaan

d. Reduksi Tabel Keadaan

e. Tabel keadaan minimal

f. Diagram keadaan minimal

g. Tabel transisi

h. Perumusan formula masukan menggunakan peta karnaugh